blob: 936b3c1febc108449fd36365da72b2d078f4b30f [file] [log] [blame]
{
"build_date": "09/27/17 09:33:37",
"compiler_version": "6.0.0-pre-alpha.2",
"program_name": "tor",
"learn_quanta": [],
"parser": { "ingress": [], "egress": [] },
"phv_allocation": [],
"tables": [
{
"direction": "ingress",
"handle": 16777217,
"name": "tbl_act",
"table_type": "match",
"size": 1,
"stage_tables": [],
"statistics_table_refs": [],
"match_attributes": {
"match_type": "match_with_no_key",
"uses_dynamic_key_masks": false,
"stage_tables": [
{
"stage_number": 0,
"logical_table_id": 0,
"memory_resource_allocation": null,
"size": 1,
"stage_table_type": "match_with_no_key",
"default_next_table": 255,
"pack_format": [
{
"table_word_width": 0,
"memory_word_width": 0,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 0 } ],
"action_format": [
{
"next_table": 1,
"next_table_full": 2,
"action_name": "act_1",
"action_handle": 536870914,
"table_name": "cond-1",
"vliw_instruction": 1,
"vliw_instruction_full": 64,
"immediate_fields": [] } ],
"hash_functions": [] } ] },
"preferred_match_type": "exact",
"actions": [
{
"name": "act_1",
"handle": 536870914,
"indirect_resources": [],
"allowed_as_default_action": false,
"disallowed_as_default_action_reason": "USES_HASH_DIST",
"p4_parameters": [],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 } ],
"default_action_handle": 536870914,
"action_profile": "",
"default_next_table_mask": 0,
"ap_bind_indirect_res_to_match": [],
"is_resource_controllable": true,
"uses_range": false,
"match_key_fields": [],
"performs_hash_action": false,
"meter_table_refs": [],
"selection_table_refs": [],
"stateful_table_refs": [],
"action_data_table_refs": [] },
{
"direction": "egress",
"handle": 16777218,
"name": "tbl_act_4",
"table_type": "match",
"size": 1,
"stage_tables": [],
"statistics_table_refs": [],
"match_attributes": {
"match_type": "match_with_no_key",
"uses_dynamic_key_masks": false,
"stage_tables": [
{
"stage_number": 0,
"logical_table_id": 1,
"memory_resource_allocation": null,
"size": 1,
"stage_table_type": "match_with_no_key",
"default_next_table": 255,
"pack_format": [
{
"table_word_width": 0,
"memory_word_width": 0,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 0 } ],
"action_format": [
{
"next_table": 1,
"next_table_full": 3,
"action_name": "act_5",
"action_handle": 536870927,
"table_name": "tbl_packetio_egress_encap_packet_in_header",
"vliw_instruction": 1,
"vliw_instruction_full": 65,
"immediate_fields": [] } ],
"hash_functions": [] } ] },
"preferred_match_type": "exact",
"actions": [
{
"name": "act_5",
"handle": 536870927,
"indirect_resources": [],
"allowed_as_default_action": false,
"disallowed_as_default_action_reason": "USES_HASH_DIST",
"p4_parameters": [],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 } ],
"default_action_handle": 536870927,
"action_profile": "",
"default_next_table_mask": 0,
"ap_bind_indirect_res_to_match": [],
"is_resource_controllable": true,
"uses_range": false,
"match_key_fields": [],
"performs_hash_action": false,
"meter_table_refs": [],
"selection_table_refs": [],
"stateful_table_refs": [],
"action_data_table_refs": [] },
{
"direction": "egress",
"handle": 16777219,
"name": "tbl_packetio_egress_encap_packet_in_header",
"table_type": "match",
"size": 1,
"stage_tables": [],
"statistics_table_refs": [],
"match_attributes": {
"match_type": "match_with_no_key",
"uses_dynamic_key_masks": false,
"stage_tables": [
{
"stage_number": 0,
"logical_table_id": 3,
"memory_resource_allocation": null,
"size": 1,
"stage_table_type": "match_with_no_key",
"default_next_table": 255,
"pack_format": [
{
"table_word_width": 0,
"memory_word_width": 0,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 0 } ],
"action_format": [
{
"next_table": 1,
"next_table_full": 4,
"action_name": "packetio_egress.encap_packet_in_header",
"action_handle": 536870928,
"table_name": "tbl_act_6",
"vliw_instruction": 1,
"vliw_instruction_full": 66,
"immediate_fields": [] } ],
"hash_functions": [] } ] },
"preferred_match_type": "exact",
"actions": [
{
"name": "packetio_egress.encap_packet_in_header",
"handle": 536870928,
"indirect_resources": [],
"allowed_as_default_action": false,
"disallowed_as_default_action_reason": "USES_HASH_DIST",
"p4_parameters": [],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 } ],
"default_action_handle": 536870928,
"action_profile": "",
"default_next_table_mask": 0,
"ap_bind_indirect_res_to_match": [],
"is_resource_controllable": true,
"uses_range": false,
"match_key_fields": [],
"performs_hash_action": false,
"meter_table_refs": [],
"selection_table_refs": [],
"stateful_table_refs": [],
"action_data_table_refs": [] },
{
"direction": "egress",
"handle": 16777220,
"name": "tbl_act_6",
"table_type": "match",
"size": 512,
"stage_tables": [],
"statistics_table_refs": [
{
"name": "packetio_egress.ingress_port_counter",
"handle": 67108865,
"how_referenced": "indirect" } ],
"match_attributes": {
"match_type": "hash_action",
"uses_dynamic_key_masks": false,
"stage_tables": [
{
"stage_number": 0,
"logical_table_id": 4,
"memory_resource_allocation": null,
"size": 512,
"stage_table_type": "hash_action",
"default_next_table": 255,
"pack_format": [
{
"table_word_width": 0,
"memory_word_width": 0,
"entries_per_table_word": 0,
"number_memory_units_per_table_word": 0 } ],
"action_format": [
{
"next_table": 1,
"next_table_full": 5,
"action_name": "act_6",
"action_handle": 536870929,
"table_name": "tbl_act_5",
"vliw_instruction": 0,
"vliw_instruction_full": 64,
"immediate_fields": [] } ],
"hash_functions": [] } ] },
"preferred_match_type": "exact",
"actions": [
{
"name": "act_6",
"handle": 536870929,
"indirect_resources": [],
"allowed_as_default_action": false,
"disallowed_as_default_action_reason": "USES_HASH_DIST",
"p4_parameters": [],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 } ],
"default_action_handle": 536870929,
"action_profile": "",
"default_next_table_mask": 0,
"ap_bind_indirect_res_to_match": [],
"is_resource_controllable": true,
"uses_range": false,
"match_key_fields": [],
"performs_hash_action": true,
"meter_table_refs": [],
"selection_table_refs": [],
"stateful_table_refs": [],
"action_data_table_refs": [] },
{
"direction": "egress",
"handle": 67108865,
"name": "packetio_egress.ingress_port_counter",
"table_type": "statistics",
"size": 4096,
"stage_tables": [
{
"stage_number": 0,
"size": 4096,
"stage_table_type": "statistics",
"logical_table_id": 4,
"pack_format": [
{
"table_word_width": 128,
"memory_word_width": 128,
"entries_per_table_word": 4,
"number_memory_units_per_table_word": 1 } ],
"memory_resource_allocation": {
"memory_type": "sram",
"memory_units_and_vpns": [
{ "memory_units": [ 78 ], "vpns": [ 0 ] },
{ "memory_units": [ 79 ], "vpns": [ 1 ] } ],
"spare_bank_memory_unit": 79 } } ],
"enable_per_flow_enable": false,
"per_flow_enable_bit_position": 0,
"how_referenced": "indirect",
"enable_pfe": false,
"pfe_bit_position": 0,
"byte_counter_resolution": 32,
"packet_counter_resolution": 0,
"statistics_type": "bytes" },
{
"direction": "egress",
"handle": 16777221,
"name": "tbl_act_5",
"table_type": "match",
"size": 512,
"stage_tables": [],
"statistics_table_refs": [
{
"name": "packetio_egress.egress_port_counter",
"handle": 67108866,
"how_referenced": "indirect" } ],
"match_attributes": {
"match_type": "hash_action",
"uses_dynamic_key_masks": false,
"stage_tables": [
{
"stage_number": 0,
"logical_table_id": 5,
"memory_resource_allocation": null,
"size": 512,
"stage_table_type": "hash_action",
"default_next_table": 255,
"pack_format": [
{
"table_word_width": 0,
"memory_word_width": 0,
"entries_per_table_word": 0,
"number_memory_units_per_table_word": 0 } ],
"action_format": [
{
"next_table": 1,
"next_table_full": 17,
"action_name": "act_4",
"action_handle": 536870930,
"table_name": "tbl_act_7",
"vliw_instruction": 0,
"vliw_instruction_full": 64,
"immediate_fields": [] } ],
"hash_functions": [] } ] },
"preferred_match_type": "exact",
"actions": [
{
"name": "act_4",
"handle": 536870930,
"indirect_resources": [],
"allowed_as_default_action": false,
"disallowed_as_default_action_reason": "USES_HASH_DIST",
"p4_parameters": [],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 } ],
"default_action_handle": 536870930,
"action_profile": "",
"default_next_table_mask": 0,
"ap_bind_indirect_res_to_match": [],
"is_resource_controllable": true,
"uses_range": false,
"match_key_fields": [],
"performs_hash_action": true,
"meter_table_refs": [],
"selection_table_refs": [],
"stateful_table_refs": [],
"action_data_table_refs": [] },
{
"direction": "egress",
"handle": 67108866,
"name": "packetio_egress.egress_port_counter",
"table_type": "statistics",
"size": 4096,
"stage_tables": [
{
"stage_number": 0,
"size": 4096,
"stage_table_type": "statistics",
"logical_table_id": 5,
"pack_format": [
{
"table_word_width": 128,
"memory_word_width": 128,
"entries_per_table_word": 4,
"number_memory_units_per_table_word": 1 } ],
"memory_resource_allocation": {
"memory_type": "sram",
"memory_units_and_vpns": [
{ "memory_units": [ 54 ], "vpns": [ 0 ] },
{ "memory_units": [ 55 ], "vpns": [ 1 ] } ],
"spare_bank_memory_unit": 55 } } ],
"enable_per_flow_enable": false,
"per_flow_enable_bit_position": 0,
"how_referenced": "indirect",
"enable_pfe": false,
"pfe_bit_position": 0,
"byte_counter_resolution": 32,
"packet_counter_resolution": 0,
"statistics_type": "bytes" },
{
"direction": "ingress",
"handle": 16777222,
"name": "tbl_act_0",
"table_type": "match",
"size": 1,
"stage_tables": [],
"statistics_table_refs": [],
"match_attributes": {
"match_type": "match_with_no_key",
"uses_dynamic_key_masks": false,
"stage_tables": [
{
"stage_number": 1,
"logical_table_id": 0,
"memory_resource_allocation": null,
"size": 1,
"stage_table_type": "match_with_no_key",
"default_next_table": 255,
"pack_format": [
{
"table_word_width": 0,
"memory_word_width": 0,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 0 } ],
"action_format": [
{
"next_table": 1,
"next_table_full": 18,
"action_name": "act",
"action_handle": 536870915,
"table_name": "tbl_act_1",
"vliw_instruction": 1,
"vliw_instruction_full": 65,
"immediate_fields": [] } ],
"hash_functions": [] } ] },
"preferred_match_type": "exact",
"actions": [
{
"name": "act",
"handle": 536870915,
"indirect_resources": [],
"allowed_as_default_action": false,
"disallowed_as_default_action_reason": "USES_HASH_DIST",
"p4_parameters": [],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 } ],
"default_action_handle": 536870915,
"action_profile": "",
"default_next_table_mask": 0,
"ap_bind_indirect_res_to_match": [],
"is_resource_controllable": true,
"uses_range": false,
"match_key_fields": [],
"performs_hash_action": false,
"meter_table_refs": [],
"selection_table_refs": [],
"stateful_table_refs": [],
"action_data_table_refs": [] },
{
"direction": "ingress",
"handle": 16777223,
"name": "tbl_act_1",
"table_type": "match",
"size": 1,
"stage_tables": [],
"statistics_table_refs": [],
"match_attributes": {
"match_type": "match_with_no_key",
"uses_dynamic_key_masks": false,
"stage_tables": [
{
"stage_number": 1,
"logical_table_id": 2,
"memory_resource_allocation": null,
"size": 1,
"stage_table_type": "match_with_no_key",
"default_next_table": 255,
"pack_format": [
{
"table_word_width": 0,
"memory_word_width": 0,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 0 } ],
"action_format": [
{
"next_table": 1,
"next_table_full": 19,
"action_name": "act_0",
"action_handle": 536870916,
"table_name": "vrf_vrf_classifier_table_0",
"vliw_instruction": 0,
"vliw_instruction_full": 64,
"immediate_fields": [] } ],
"hash_functions": [] } ] },
"preferred_match_type": "exact",
"actions": [
{
"name": "act_0",
"handle": 536870916,
"indirect_resources": [],
"allowed_as_default_action": false,
"disallowed_as_default_action_reason": "USES_HASH_DIST",
"p4_parameters": [],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 } ],
"default_action_handle": 536870916,
"action_profile": "",
"default_next_table_mask": 0,
"ap_bind_indirect_res_to_match": [],
"is_resource_controllable": true,
"uses_range": false,
"match_key_fields": [],
"performs_hash_action": false,
"meter_table_refs": [],
"selection_table_refs": [],
"stateful_table_refs": [],
"action_data_table_refs": [] },
{
"direction": "ingress",
"handle": 16777224,
"name": "vrf.vrf_classifier_table",
"table_type": "match",
"size": 512,
"statistics_table_refs": [],
"match_attributes": {
"stage_tables": [
{
"stage_number": 1,
"size": 512,
"stage_table_type": "ternary_match",
"logical_table_id": 3,
"pack_format": [
{
"table_word_width": 282,
"memory_word_width": 47,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 6,
"entries": [
{
"entry_number": 0,
"fields": [
{
"field_name": "--tcam_payload_5--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 5,
"msb_mem_word_idx": 5,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_5--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 5,
"msb_mem_word_idx": 5,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ipv4_base.dst_addr",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 5,
"msb_mem_word_idx": 5,
"source": "spec",
"start_bit": 0,
"field_width": 32 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 33,
"lsb_mem_word_idx": 5,
"msb_mem_word_idx": 5,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "standard_metadata.ingress_port",
"lsb_mem_word_offset": 41,
"lsb_mem_word_idx": 5,
"msb_mem_word_idx": 5,
"source": "spec",
"start_bit": 8,
"field_width": 1 },
{
"field_name": "--tcam_payload_4--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 4,
"msb_mem_word_idx": 4,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--version--",
"lsb_mem_word_offset": 43,
"lsb_mem_word_idx": 4,
"msb_mem_word_idx": 4,
"source": "version",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "--tcam_parity_4--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 4,
"msb_mem_word_idx": 4,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 4,
"msb_mem_word_idx": 4,
"source": "spec",
"start_bit": 16,
"field_width": 16 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 17,
"lsb_mem_word_idx": 4,
"msb_mem_word_idx": 4,
"source": "spec",
"start_bit": 32,
"field_width": 8 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 25,
"lsb_mem_word_idx": 4,
"msb_mem_word_idx": 4,
"source": "spec",
"start_bit": 8,
"field_width": 8 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 33,
"lsb_mem_word_idx": 4,
"msb_mem_word_idx": 4,
"source": "spec",
"start_bit": 48,
"field_width": 8 },
{
"field_name": "--tcam_payload_3--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 3,
"msb_mem_word_idx": 3,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_3--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 3,
"msb_mem_word_idx": 3,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 3,
"msb_mem_word_idx": 3,
"source": "spec",
"start_bit": 56,
"field_width": 8 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 9,
"lsb_mem_word_idx": 3,
"msb_mem_word_idx": 3,
"source": "spec",
"start_bit": 64,
"field_width": 8 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 17,
"lsb_mem_word_idx": 3,
"msb_mem_word_idx": 3,
"source": "spec",
"start_bit": 40,
"field_width": 8 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 25,
"lsb_mem_word_idx": 3,
"msb_mem_word_idx": 3,
"source": "spec",
"start_bit": 80,
"field_width": 16 },
{
"field_name": "ethernet.ether_type",
"lsb_mem_word_offset": 41,
"lsb_mem_word_idx": 3,
"msb_mem_word_idx": 3,
"source": "spec",
"start_bit": 0,
"field_width": 4 },
{
"field_name": "ethernet.ether_type",
"lsb_mem_word_offset": 41,
"lsb_mem_word_idx": 2,
"msb_mem_word_idx": 2,
"source": "spec",
"start_bit": 4,
"field_width": 4 },
{
"field_name": "--tcam_payload_2--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 2,
"msb_mem_word_idx": 2,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_2--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 2,
"msb_mem_word_idx": 2,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 2,
"msb_mem_word_idx": 2,
"source": "spec",
"start_bit": 72,
"field_width": 8 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 9,
"lsb_mem_word_idx": 2,
"msb_mem_word_idx": 2,
"source": "spec",
"start_bit": 112,
"field_width": 16 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 25,
"lsb_mem_word_idx": 2,
"msb_mem_word_idx": 2,
"source": "spec",
"start_bit": 96,
"field_width": 16 },
{
"field_name": "--tcam_payload_1--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_1--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ipv6_base.traffic_class",
"lsb_mem_word_offset": 5,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "ethernet.src_addr",
"lsb_mem_word_offset": 17,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "ethernet.ether_type",
"lsb_mem_word_offset": 25,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "spec",
"start_bit": 8,
"field_width": 8 },
{
"field_name": "ethernet.src_addr",
"lsb_mem_word_offset": 33,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "spec",
"start_bit": 16,
"field_width": 8 },
{
"field_name": "ethernet.src_addr",
"lsb_mem_word_offset": 41,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "spec",
"start_bit": 40,
"field_width": 4 },
{
"field_name": "ethernet.src_addr",
"lsb_mem_word_offset": 41,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 44,
"field_width": 4 },
{
"field_name": "--tcam_payload_0--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_0--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ethernet.src_addr",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 32,
"field_width": 8 },
{
"field_name": "ethernet.src_addr",
"lsb_mem_word_offset": 9,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 8,
"field_width": 8 },
{
"field_name": "standard_metadata.ingress_port",
"lsb_mem_word_offset": 17,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "ethernet.src_addr",
"lsb_mem_word_offset": 25,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 24,
"field_width": 8 },
{
"field_name": "ipv4_base.diffserv",
"lsb_mem_word_offset": 33,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 0,
"field_width": 8 } ] } ] } ],
"memory_resource_allocation": {
"memory_type": "tcam",
"memory_units_and_vpns": [ { "memory_units": [ 0, 1, 2, 3, 4, 5 ], "vpns": [ 0 ] } ],
"spare_bank_memory_unit": 5 },
"default_next_table": 255,
"ternary_indirection_stage_table": {
"stage_number": 1,
"stage_table_type": "ternary_indirection",
"size": 2048,
"pack_format": [
{
"memory_word_width": 128,
"table_word_width": 128,
"entries_per_table_word": 2,
"number_memory_units_per_table_word": 1,
"entries": [
{
"entry_number": 1,
"fields": [
{
"start_bit": 0,
"field_width": 31,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 97,
"field_name": "--padding_33_63--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 64,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 32,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "immediate",
"lsb_mem_word_offset": 65,
"field_name": "--immediate--",
"enable_pfe": false } ] },
{
"entry_number": 0,
"fields": [
{
"start_bit": 0,
"field_width": 31,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 33,
"field_name": "--padding_33_63--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 0,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 32,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "immediate",
"lsb_mem_word_offset": 1,
"field_name": "--immediate--",
"enable_pfe": false } ] } ] } ],
"memory_resource_allocation": {
"memory_type": "sram",
"memory_units_and_vpns": [ { "memory_units": [ 2 ], "vpns": [ 0 ] } ],
"spare_bank_memory_unit": 2 },
"action_format": [
{
"next_table": 1,
"next_table_full": 20,
"action_name": "vrf.set_vrf",
"action_handle": 536870917,
"table_name": "class_id_class_id_assignment_table_0",
"vliw_instruction": 1,
"vliw_instruction_full": 66,
"immediate_fields": [
{
"param_name": "vrf_id",
"param_type": "parameter",
"param_shift": 0,
"dest_start": 0,
"dest_width": 32 } ] } ] } } ],
"match_type": "ternary" },
"action_data_table_refs": [],
"meter_table_refs": [],
"selection_table_refs": [],
"actions": [
{
"name": "vrf.set_vrf",
"handle": 536870917,
"indirect_resources": [],
"allowed_as_default_action": true,
"p4_parameters": [
{
"name": "vrf_id",
"start_bit": 0,
"position": 0,
"default_value": 0,
"bit_width": 32 } ],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 } ],
"default_action_handle": 536870917,
"action_profile": "",
"default_next_table_mask": 0,
"ap_bind_indirect_res_to_match": [],
"is_resource_controllable": true,
"uses_range": false,
"match_key_fields": [
{
"name": "ethernet.ether_type",
"position": 0,
"match_type": "exact",
"start_bit": 0,
"bit_width": 16,
"bit_width_full": 16,
"is_valid": false },
{
"name": "ethernet.src_addr",
"position": 1,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 48,
"bit_width_full": 48,
"is_valid": false },
{
"name": "ipv4_base.diffserv",
"position": 2,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 8,
"bit_width_full": 8,
"is_valid": false },
{
"name": "ipv4_base.dst_addr",
"position": 3,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 32,
"bit_width_full": 32,
"is_valid": false },
{
"name": "standard_metadata.ingress_port",
"position": 4,
"match_type": "exact",
"start_bit": 0,
"bit_width": 9,
"bit_width_full": 9,
"is_valid": false },
{
"name": "ipv6_base.traffic_class",
"position": 5,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 8,
"bit_width_full": 8,
"is_valid": false },
{
"name": "ipv6_base.dst_addr",
"position": 6,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 128,
"bit_width_full": 128,
"is_valid": false } ],
"stateful_table_refs": [] },
{
"direction": "ingress",
"handle": 16777225,
"name": "class_id.class_id_assignment_table",
"table_type": "match",
"size": 512,
"statistics_table_refs": [],
"match_attributes": {
"stage_tables": [
{
"stage_number": 1,
"size": 512,
"stage_table_type": "ternary_match",
"logical_table_id": 4,
"pack_format": [
{
"table_word_width": 282,
"memory_word_width": 47,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 6,
"entries": [
{
"entry_number": 0,
"fields": [
{
"field_name": "--tcam_payload_5--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 5,
"msb_mem_word_idx": 5,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_5--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 5,
"msb_mem_word_idx": 5,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 5,
"msb_mem_word_idx": 5,
"source": "spec",
"start_bit": 56,
"field_width": 8 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 9,
"lsb_mem_word_idx": 5,
"msb_mem_word_idx": 5,
"source": "spec",
"start_bit": 64,
"field_width": 8 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 17,
"lsb_mem_word_idx": 5,
"msb_mem_word_idx": 5,
"source": "spec",
"start_bit": 40,
"field_width": 8 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 25,
"lsb_mem_word_idx": 5,
"msb_mem_word_idx": 5,
"source": "spec",
"start_bit": 80,
"field_width": 16 },
{
"field_name": "ethernet.ether_type",
"lsb_mem_word_offset": 41,
"lsb_mem_word_idx": 5,
"msb_mem_word_idx": 5,
"source": "spec",
"start_bit": 0,
"field_width": 4 },
{
"field_name": "ethernet.ether_type",
"lsb_mem_word_offset": 41,
"lsb_mem_word_idx": 4,
"msb_mem_word_idx": 4,
"source": "spec",
"start_bit": 4,
"field_width": 4 },
{
"field_name": "--tcam_payload_4--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 4,
"msb_mem_word_idx": 4,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_4--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 4,
"msb_mem_word_idx": 4,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 4,
"msb_mem_word_idx": 4,
"source": "spec",
"start_bit": 72,
"field_width": 8 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 9,
"lsb_mem_word_idx": 4,
"msb_mem_word_idx": 4,
"source": "spec",
"start_bit": 112,
"field_width": 16 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 25,
"lsb_mem_word_idx": 4,
"msb_mem_word_idx": 4,
"source": "spec",
"start_bit": 96,
"field_width": 16 },
{
"field_name": "--tcam_payload_3--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 3,
"msb_mem_word_idx": 3,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--version--",
"lsb_mem_word_offset": 43,
"lsb_mem_word_idx": 3,
"msb_mem_word_idx": 3,
"source": "version",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "--tcam_parity_3--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 3,
"msb_mem_word_idx": 3,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ipv4_base.dst_addr",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 3,
"msb_mem_word_idx": 3,
"source": "spec",
"start_bit": 0,
"field_width": 32 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 33,
"lsb_mem_word_idx": 3,
"msb_mem_word_idx": 3,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "--tcam_payload_2--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 2,
"msb_mem_word_idx": 2,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_2--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 2,
"msb_mem_word_idx": 2,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 2,
"msb_mem_word_idx": 2,
"source": "spec",
"start_bit": 16,
"field_width": 16 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 17,
"lsb_mem_word_idx": 2,
"msb_mem_word_idx": 2,
"source": "spec",
"start_bit": 32,
"field_width": 8 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 25,
"lsb_mem_word_idx": 2,
"msb_mem_word_idx": 2,
"source": "spec",
"start_bit": 8,
"field_width": 8 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 33,
"lsb_mem_word_idx": 2,
"msb_mem_word_idx": 2,
"source": "spec",
"start_bit": 48,
"field_width": 8 },
{
"field_name": "vlan_tag$0.pcp",
"lsb_mem_word_offset": 42,
"lsb_mem_word_idx": 2,
"msb_mem_word_idx": 2,
"source": "spec",
"start_bit": 0,
"field_width": 3 },
{
"field_name": "--tcam_payload_1--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_1--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ethernet.ether_type",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "spec",
"start_bit": 8,
"field_width": 8 },
{
"field_name": "local_metadata.l4_dst_port",
"lsb_mem_word_offset": 9,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "spec",
"start_bit": 0,
"field_width": 16 },
{
"field_name": "local_metadata.l4_src_port",
"lsb_mem_word_offset": 25,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "spec",
"start_bit": 0,
"field_width": 16 },
{
"field_name": "ipv6_base.next_header",
"lsb_mem_word_offset": 41,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "spec",
"start_bit": 0,
"field_width": 4 },
{
"field_name": "ipv6_base.next_header",
"lsb_mem_word_offset": 41,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 4,
"field_width": 4 },
{
"field_name": "--tcam_payload_0--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_0--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "vlan_tag$0.vid",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 8,
"field_width": 4 },
{
"field_name": "vlan_tag$0.vid",
"lsb_mem_word_offset": 9,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "ipv4_base.protocol",
"lsb_mem_word_offset": 17,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "ipv4_base.ttl",
"lsb_mem_word_offset": 25,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "ipv6_base.hop_limit",
"lsb_mem_word_offset": 33,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 0,
"field_width": 8 } ] } ] } ],
"memory_resource_allocation": {
"memory_type": "tcam",
"memory_units_and_vpns": [ { "memory_units": [ 6, 7, 8, 9, 10, 11 ], "vpns": [ 0 ] } ],
"spare_bank_memory_unit": 11 },
"default_next_table": 255,
"ternary_indirection_stage_table": {
"stage_number": 1,
"stage_table_type": "ternary_indirection",
"size": 8192,
"pack_format": [
{
"memory_word_width": 128,
"table_word_width": 128,
"entries_per_table_word": 8,
"number_memory_units_per_table_word": 1,
"entries": [
{
"entry_number": 7,
"fields": [
{
"start_bit": 0,
"field_width": 7,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 121,
"field_name": "--padding_9_15--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 112,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 8,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "immediate",
"lsb_mem_word_offset": 113,
"field_name": "--immediate--",
"enable_pfe": false } ] },
{
"entry_number": 6,
"fields": [
{
"start_bit": 0,
"field_width": 7,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 105,
"field_name": "--padding_9_15--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 96,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 8,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "immediate",
"lsb_mem_word_offset": 97,
"field_name": "--immediate--",
"enable_pfe": false } ] },
{
"entry_number": 5,
"fields": [
{
"start_bit": 0,
"field_width": 7,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 89,
"field_name": "--padding_9_15--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 80,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 8,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "immediate",
"lsb_mem_word_offset": 81,
"field_name": "--immediate--",
"enable_pfe": false } ] },
{
"entry_number": 4,
"fields": [
{
"start_bit": 0,
"field_width": 7,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 73,
"field_name": "--padding_9_15--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 64,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 8,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "immediate",
"lsb_mem_word_offset": 65,
"field_name": "--immediate--",
"enable_pfe": false } ] },
{
"entry_number": 3,
"fields": [
{
"start_bit": 0,
"field_width": 7,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 57,
"field_name": "--padding_9_15--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 48,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 8,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "immediate",
"lsb_mem_word_offset": 49,
"field_name": "--immediate--",
"enable_pfe": false } ] },
{
"entry_number": 2,
"fields": [
{
"start_bit": 0,
"field_width": 7,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 41,
"field_name": "--padding_9_15--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 32,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 8,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "immediate",
"lsb_mem_word_offset": 33,
"field_name": "--immediate--",
"enable_pfe": false } ] },
{
"entry_number": 1,
"fields": [
{
"start_bit": 0,
"field_width": 7,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 25,
"field_name": "--padding_9_15--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 16,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 8,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "immediate",
"lsb_mem_word_offset": 17,
"field_name": "--immediate--",
"enable_pfe": false } ] },
{
"entry_number": 0,
"fields": [
{
"start_bit": 0,
"field_width": 7,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 9,
"field_name": "--padding_9_15--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 0,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 8,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "immediate",
"lsb_mem_word_offset": 1,
"field_name": "--immediate--",
"enable_pfe": false } ] } ] } ],
"memory_resource_allocation": {
"memory_type": "sram",
"memory_units_and_vpns": [ { "memory_units": [ 14 ], "vpns": [ 0 ] } ],
"spare_bank_memory_unit": 14 },
"action_format": [
{
"next_table": 1,
"next_table_full": 21,
"action_name": "class_id.set_class_id",
"action_handle": 536870918,
"table_name": "l3_fwd_l3_routing_classifier_table_0",
"vliw_instruction": 0,
"vliw_instruction_full": 67,
"immediate_fields": [
{
"param_name": "class_id_value",
"param_type": "parameter",
"param_shift": 0,
"dest_start": 0,
"dest_width": 8 } ] } ] } } ],
"match_type": "ternary" },
"action_data_table_refs": [],
"meter_table_refs": [],
"selection_table_refs": [],
"actions": [
{
"name": "class_id.set_class_id",
"handle": 536870918,
"indirect_resources": [],
"allowed_as_default_action": true,
"p4_parameters": [
{
"name": "class_id_value",
"start_bit": 0,
"position": 0,
"default_value": 0,
"bit_width": 8 } ],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 } ],
"default_action_handle": 536870918,
"action_profile": "",
"default_next_table_mask": 0,
"ap_bind_indirect_res_to_match": [],
"is_resource_controllable": true,
"uses_range": false,
"match_key_fields": [
{
"name": "ethernet.ether_type",
"position": 0,
"match_type": "exact",
"start_bit": 0,
"bit_width": 16,
"bit_width_full": 16,
"is_valid": false },
{
"name": "ipv4_base.ttl",
"position": 1,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 8,
"bit_width_full": 8,
"is_valid": false },
{
"name": "ipv6_base.hop_limit",
"position": 2,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 8,
"bit_width_full": 8,
"is_valid": false },
{
"name": "ipv4_base.dst_addr",
"position": 3,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 32,
"bit_width_full": 32,
"is_valid": false },
{
"name": "ipv6_base.dst_addr",
"position": 4,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 128,
"bit_width_full": 128,
"is_valid": false },
{
"name": "ipv4_base.protocol",
"position": 5,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 8,
"bit_width_full": 8,
"is_valid": false },
{
"name": "ipv6_base.next_header",
"position": 6,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 8,
"bit_width_full": 8,
"is_valid": false },
{
"name": "local_metadata.l4_src_port",
"position": 7,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 16,
"bit_width_full": 16,
"is_valid": false },
{
"name": "local_metadata.l4_dst_port",
"position": 8,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 16,
"bit_width_full": 16,
"is_valid": false },
{
"name": "vlan_tag$0.vid",
"position": 9,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 12,
"bit_width_full": 12,
"is_valid": false },
{
"name": "vlan_tag$0.pcp",
"position": 10,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 3,
"bit_width_full": 3,
"is_valid": false } ],
"stateful_table_refs": [] },
{
"direction": "ingress",
"handle": 16777226,
"name": "l3_fwd.l3_routing_classifier_table",
"table_type": "match",
"size": 3072,
"stage_tables": [],
"statistics_table_refs": [],
"default_action_handle": 536870919,
"action_profile": "",
"default_next_table_mask": 0,
"ap_bind_indirect_res_to_match": [],
"is_resource_controllable": true,
"uses_range": false,
"match_key_fields": [
{
"name": "ethernet.dst_addr",
"position": 0,
"match_type": "exact",
"start_bit": 0,
"bit_width": 48,
"bit_width_full": 48,
"is_valid": false } ],
"match_attributes": {
"stage_tables": [
{
"stage_number": 1,
"logical_table_id": 5,
"memory_resource_allocation": null,
"size": 3072,
"stage_table_type": "hash_match",
"hash_functions": [
{
"hash_bits": [
{
"hash_bit": 0,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 8, "field_name": "ethernet.dst_addr" },
{ "field_bit": 10, "field_name": "ethernet.dst_addr" },
{ "field_bit": 11, "field_name": "ethernet.dst_addr" },
{ "field_bit": 12, "field_name": "ethernet.dst_addr" },
{ "field_bit": 13, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 1, "field_name": "ethernet.dst_addr" },
{ "field_bit": 3, "field_name": "ethernet.dst_addr" },
{ "field_bit": 5, "field_name": "ethernet.dst_addr" },
{ "field_bit": 6, "field_name": "ethernet.dst_addr" },
{ "field_bit": 28, "field_name": "ethernet.dst_addr" },
{ "field_bit": 30, "field_name": "ethernet.dst_addr" },
{ "field_bit": 31, "field_name": "ethernet.dst_addr" },
{ "field_bit": 16, "field_name": "ethernet.dst_addr" },
{ "field_bit": 21, "field_name": "ethernet.dst_addr" },
{ "field_bit": 22, "field_name": "ethernet.dst_addr" },
{ "field_bit": 23, "field_name": "ethernet.dst_addr" },
{ "field_bit": 40, "field_name": "ethernet.dst_addr" },
{ "field_bit": 44, "field_name": "ethernet.dst_addr" },
{ "field_bit": 45, "field_name": "ethernet.dst_addr" },
{ "field_bit": 46, "field_name": "ethernet.dst_addr" },
{ "field_bit": 32, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 1,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 8, "field_name": "ethernet.dst_addr" },
{ "field_bit": 10, "field_name": "ethernet.dst_addr" },
{ "field_bit": 11, "field_name": "ethernet.dst_addr" },
{ "field_bit": 12, "field_name": "ethernet.dst_addr" },
{ "field_bit": 13, "field_name": "ethernet.dst_addr" },
{ "field_bit": 15, "field_name": "ethernet.dst_addr" },
{ "field_bit": 2, "field_name": "ethernet.dst_addr" },
{ "field_bit": 4, "field_name": "ethernet.dst_addr" },
{ "field_bit": 6, "field_name": "ethernet.dst_addr" },
{ "field_bit": 25, "field_name": "ethernet.dst_addr" },
{ "field_bit": 29, "field_name": "ethernet.dst_addr" },
{ "field_bit": 30, "field_name": "ethernet.dst_addr" },
{ "field_bit": 31, "field_name": "ethernet.dst_addr" },
{ "field_bit": 17, "field_name": "ethernet.dst_addr" },
{ "field_bit": 19, "field_name": "ethernet.dst_addr" },
{ "field_bit": 21, "field_name": "ethernet.dst_addr" },
{ "field_bit": 23, "field_name": "ethernet.dst_addr" },
{ "field_bit": 40, "field_name": "ethernet.dst_addr" },
{ "field_bit": 41, "field_name": "ethernet.dst_addr" },
{ "field_bit": 43, "field_name": "ethernet.dst_addr" },
{ "field_bit": 45, "field_name": "ethernet.dst_addr" },
{ "field_bit": 47, "field_name": "ethernet.dst_addr" },
{ "field_bit": 33, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 2,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 10, "field_name": "ethernet.dst_addr" },
{ "field_bit": 12, "field_name": "ethernet.dst_addr" },
{ "field_bit": 2, "field_name": "ethernet.dst_addr" },
{ "field_bit": 3, "field_name": "ethernet.dst_addr" },
{ "field_bit": 5, "field_name": "ethernet.dst_addr" },
{ "field_bit": 26, "field_name": "ethernet.dst_addr" },
{ "field_bit": 31, "field_name": "ethernet.dst_addr" },
{ "field_bit": 16, "field_name": "ethernet.dst_addr" },
{ "field_bit": 18, "field_name": "ethernet.dst_addr" },
{ "field_bit": 22, "field_name": "ethernet.dst_addr" },
{ "field_bit": 23, "field_name": "ethernet.dst_addr" },
{ "field_bit": 40, "field_name": "ethernet.dst_addr" },
{ "field_bit": 42, "field_name": "ethernet.dst_addr" },
{ "field_bit": 46, "field_name": "ethernet.dst_addr" },
{ "field_bit": 34, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 3,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 9, "field_name": "ethernet.dst_addr" },
{ "field_bit": 10, "field_name": "ethernet.dst_addr" },
{ "field_bit": 11, "field_name": "ethernet.dst_addr" },
{ "field_bit": 13, "field_name": "ethernet.dst_addr" },
{ "field_bit": 15, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 1, "field_name": "ethernet.dst_addr" },
{ "field_bit": 2, "field_name": "ethernet.dst_addr" },
{ "field_bit": 3, "field_name": "ethernet.dst_addr" },
{ "field_bit": 4, "field_name": "ethernet.dst_addr" },
{ "field_bit": 5, "field_name": "ethernet.dst_addr" },
{ "field_bit": 6, "field_name": "ethernet.dst_addr" },
{ "field_bit": 7, "field_name": "ethernet.dst_addr" },
{ "field_bit": 24, "field_name": "ethernet.dst_addr" },
{ "field_bit": 26, "field_name": "ethernet.dst_addr" },
{ "field_bit": 27, "field_name": "ethernet.dst_addr" },
{ "field_bit": 29, "field_name": "ethernet.dst_addr" },
{ "field_bit": 31, "field_name": "ethernet.dst_addr" },
{ "field_bit": 17, "field_name": "ethernet.dst_addr" },
{ "field_bit": 18, "field_name": "ethernet.dst_addr" },
{ "field_bit": 21, "field_name": "ethernet.dst_addr" },
{ "field_bit": 23, "field_name": "ethernet.dst_addr" },
{ "field_bit": 40, "field_name": "ethernet.dst_addr" },
{ "field_bit": 41, "field_name": "ethernet.dst_addr" },
{ "field_bit": 45, "field_name": "ethernet.dst_addr" },
{ "field_bit": 47, "field_name": "ethernet.dst_addr" },
{ "field_bit": 35, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 4,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 9, "field_name": "ethernet.dst_addr" },
{ "field_bit": 11, "field_name": "ethernet.dst_addr" },
{ "field_bit": 13, "field_name": "ethernet.dst_addr" },
{ "field_bit": 14, "field_name": "ethernet.dst_addr" },
{ "field_bit": 15, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 4, "field_name": "ethernet.dst_addr" },
{ "field_bit": 6, "field_name": "ethernet.dst_addr" },
{ "field_bit": 28, "field_name": "ethernet.dst_addr" },
{ "field_bit": 30, "field_name": "ethernet.dst_addr" },
{ "field_bit": 31, "field_name": "ethernet.dst_addr" },
{ "field_bit": 16, "field_name": "ethernet.dst_addr" },
{ "field_bit": 18, "field_name": "ethernet.dst_addr" },
{ "field_bit": 19, "field_name": "ethernet.dst_addr" },
{ "field_bit": 20, "field_name": "ethernet.dst_addr" },
{ "field_bit": 23, "field_name": "ethernet.dst_addr" },
{ "field_bit": 40, "field_name": "ethernet.dst_addr" },
{ "field_bit": 42, "field_name": "ethernet.dst_addr" },
{ "field_bit": 43, "field_name": "ethernet.dst_addr" },
{ "field_bit": 45, "field_name": "ethernet.dst_addr" },
{ "field_bit": 47, "field_name": "ethernet.dst_addr" },
{ "field_bit": 36, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 5,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 8, "field_name": "ethernet.dst_addr" },
{ "field_bit": 9, "field_name": "ethernet.dst_addr" },
{ "field_bit": 10, "field_name": "ethernet.dst_addr" },
{ "field_bit": 11, "field_name": "ethernet.dst_addr" },
{ "field_bit": 14, "field_name": "ethernet.dst_addr" },
{ "field_bit": 15, "field_name": "ethernet.dst_addr" },
{ "field_bit": 1, "field_name": "ethernet.dst_addr" },
{ "field_bit": 4, "field_name": "ethernet.dst_addr" },
{ "field_bit": 5, "field_name": "ethernet.dst_addr" },
{ "field_bit": 6, "field_name": "ethernet.dst_addr" },
{ "field_bit": 30, "field_name": "ethernet.dst_addr" },
{ "field_bit": 31, "field_name": "ethernet.dst_addr" },
{ "field_bit": 17, "field_name": "ethernet.dst_addr" },
{ "field_bit": 18, "field_name": "ethernet.dst_addr" },
{ "field_bit": 21, "field_name": "ethernet.dst_addr" },
{ "field_bit": 23, "field_name": "ethernet.dst_addr" },
{ "field_bit": 41, "field_name": "ethernet.dst_addr" },
{ "field_bit": 43, "field_name": "ethernet.dst_addr" },
{ "field_bit": 46, "field_name": "ethernet.dst_addr" },
{ "field_bit": 47, "field_name": "ethernet.dst_addr" },
{ "field_bit": 37, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 6,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 10, "field_name": "ethernet.dst_addr" },
{ "field_bit": 14, "field_name": "ethernet.dst_addr" },
{ "field_bit": 15, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 3, "field_name": "ethernet.dst_addr" },
{ "field_bit": 6, "field_name": "ethernet.dst_addr" },
{ "field_bit": 7, "field_name": "ethernet.dst_addr" },
{ "field_bit": 28, "field_name": "ethernet.dst_addr" },
{ "field_bit": 30, "field_name": "ethernet.dst_addr" },
{ "field_bit": 31, "field_name": "ethernet.dst_addr" },
{ "field_bit": 16, "field_name": "ethernet.dst_addr" },
{ "field_bit": 18, "field_name": "ethernet.dst_addr" },
{ "field_bit": 21, "field_name": "ethernet.dst_addr" },
{ "field_bit": 43, "field_name": "ethernet.dst_addr" },
{ "field_bit": 38, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 7,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 9, "field_name": "ethernet.dst_addr" },
{ "field_bit": 15, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 3, "field_name": "ethernet.dst_addr" },
{ "field_bit": 4, "field_name": "ethernet.dst_addr" },
{ "field_bit": 5, "field_name": "ethernet.dst_addr" },
{ "field_bit": 6, "field_name": "ethernet.dst_addr" },
{ "field_bit": 24, "field_name": "ethernet.dst_addr" },
{ "field_bit": 27, "field_name": "ethernet.dst_addr" },
{ "field_bit": 28, "field_name": "ethernet.dst_addr" },
{ "field_bit": 29, "field_name": "ethernet.dst_addr" },
{ "field_bit": 30, "field_name": "ethernet.dst_addr" },
{ "field_bit": 17, "field_name": "ethernet.dst_addr" },
{ "field_bit": 18, "field_name": "ethernet.dst_addr" },
{ "field_bit": 19, "field_name": "ethernet.dst_addr" },
{ "field_bit": 20, "field_name": "ethernet.dst_addr" },
{ "field_bit": 23, "field_name": "ethernet.dst_addr" },
{ "field_bit": 39, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 8,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 9, "field_name": "ethernet.dst_addr" },
{ "field_bit": 10, "field_name": "ethernet.dst_addr" },
{ "field_bit": 12, "field_name": "ethernet.dst_addr" },
{ "field_bit": 14, "field_name": "ethernet.dst_addr" },
{ "field_bit": 2, "field_name": "ethernet.dst_addr" },
{ "field_bit": 3, "field_name": "ethernet.dst_addr" },
{ "field_bit": 7, "field_name": "ethernet.dst_addr" },
{ "field_bit": 25, "field_name": "ethernet.dst_addr" },
{ "field_bit": 26, "field_name": "ethernet.dst_addr" },
{ "field_bit": 28, "field_name": "ethernet.dst_addr" },
{ "field_bit": 29, "field_name": "ethernet.dst_addr" },
{ "field_bit": 30, "field_name": "ethernet.dst_addr" },
{ "field_bit": 31, "field_name": "ethernet.dst_addr" },
{ "field_bit": 16, "field_name": "ethernet.dst_addr" },
{ "field_bit": 18, "field_name": "ethernet.dst_addr" },
{ "field_bit": 20, "field_name": "ethernet.dst_addr" },
{ "field_bit": 21, "field_name": "ethernet.dst_addr" },
{ "field_bit": 23, "field_name": "ethernet.dst_addr" },
{ "field_bit": 40, "field_name": "ethernet.dst_addr" },
{ "field_bit": 42, "field_name": "ethernet.dst_addr" },
{ "field_bit": 32, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 9,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 9, "field_name": "ethernet.dst_addr" },
{ "field_bit": 11, "field_name": "ethernet.dst_addr" },
{ "field_bit": 2, "field_name": "ethernet.dst_addr" },
{ "field_bit": 3, "field_name": "ethernet.dst_addr" },
{ "field_bit": 5, "field_name": "ethernet.dst_addr" },
{ "field_bit": 25, "field_name": "ethernet.dst_addr" },
{ "field_bit": 30, "field_name": "ethernet.dst_addr" },
{ "field_bit": 31, "field_name": "ethernet.dst_addr" },
{ "field_bit": 17, "field_name": "ethernet.dst_addr" },
{ "field_bit": 18, "field_name": "ethernet.dst_addr" },
{ "field_bit": 21, "field_name": "ethernet.dst_addr" },
{ "field_bit": 40, "field_name": "ethernet.dst_addr" },
{ "field_bit": 41, "field_name": "ethernet.dst_addr" },
{ "field_bit": 42, "field_name": "ethernet.dst_addr" },
{ "field_bit": 45, "field_name": "ethernet.dst_addr" },
{ "field_bit": 33, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 10,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 8, "field_name": "ethernet.dst_addr" },
{ "field_bit": 10, "field_name": "ethernet.dst_addr" },
{ "field_bit": 11, "field_name": "ethernet.dst_addr" },
{ "field_bit": 12, "field_name": "ethernet.dst_addr" },
{ "field_bit": 13, "field_name": "ethernet.dst_addr" },
{ "field_bit": 15, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 3, "field_name": "ethernet.dst_addr" },
{ "field_bit": 25, "field_name": "ethernet.dst_addr" },
{ "field_bit": 29, "field_name": "ethernet.dst_addr" },
{ "field_bit": 16, "field_name": "ethernet.dst_addr" },
{ "field_bit": 22, "field_name": "ethernet.dst_addr" },
{ "field_bit": 40, "field_name": "ethernet.dst_addr" },
{ "field_bit": 41, "field_name": "ethernet.dst_addr" },
{ "field_bit": 42, "field_name": "ethernet.dst_addr" },
{ "field_bit": 46, "field_name": "ethernet.dst_addr" },
{ "field_bit": 32, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 11,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 9, "field_name": "ethernet.dst_addr" },
{ "field_bit": 13, "field_name": "ethernet.dst_addr" },
{ "field_bit": 14, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 1, "field_name": "ethernet.dst_addr" },
{ "field_bit": 2, "field_name": "ethernet.dst_addr" },
{ "field_bit": 3, "field_name": "ethernet.dst_addr" },
{ "field_bit": 4, "field_name": "ethernet.dst_addr" },
{ "field_bit": 7, "field_name": "ethernet.dst_addr" },
{ "field_bit": 26, "field_name": "ethernet.dst_addr" },
{ "field_bit": 28, "field_name": "ethernet.dst_addr" },
{ "field_bit": 29, "field_name": "ethernet.dst_addr" },
{ "field_bit": 17, "field_name": "ethernet.dst_addr" },
{ "field_bit": 19, "field_name": "ethernet.dst_addr" },
{ "field_bit": 23, "field_name": "ethernet.dst_addr" },
{ "field_bit": 45, "field_name": "ethernet.dst_addr" },
{ "field_bit": 46, "field_name": "ethernet.dst_addr" },
{ "field_bit": 47, "field_name": "ethernet.dst_addr" },
{ "field_bit": 33, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 12,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 8, "field_name": "ethernet.dst_addr" },
{ "field_bit": 11, "field_name": "ethernet.dst_addr" },
{ "field_bit": 13, "field_name": "ethernet.dst_addr" },
{ "field_bit": 14, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 1, "field_name": "ethernet.dst_addr" },
{ "field_bit": 2, "field_name": "ethernet.dst_addr" },
{ "field_bit": 4, "field_name": "ethernet.dst_addr" },
{ "field_bit": 6, "field_name": "ethernet.dst_addr" },
{ "field_bit": 7, "field_name": "ethernet.dst_addr" },
{ "field_bit": 25, "field_name": "ethernet.dst_addr" },
{ "field_bit": 26, "field_name": "ethernet.dst_addr" },
{ "field_bit": 28, "field_name": "ethernet.dst_addr" },
{ "field_bit": 29, "field_name": "ethernet.dst_addr" },
{ "field_bit": 30, "field_name": "ethernet.dst_addr" },
{ "field_bit": 31, "field_name": "ethernet.dst_addr" },
{ "field_bit": 16, "field_name": "ethernet.dst_addr" },
{ "field_bit": 18, "field_name": "ethernet.dst_addr" },
{ "field_bit": 21, "field_name": "ethernet.dst_addr" },
{ "field_bit": 22, "field_name": "ethernet.dst_addr" },
{ "field_bit": 23, "field_name": "ethernet.dst_addr" },
{ "field_bit": 40, "field_name": "ethernet.dst_addr" },
{ "field_bit": 43, "field_name": "ethernet.dst_addr" },
{ "field_bit": 44, "field_name": "ethernet.dst_addr" },
{ "field_bit": 46, "field_name": "ethernet.dst_addr" },
{ "field_bit": 34, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 13,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 10, "field_name": "ethernet.dst_addr" },
{ "field_bit": 11, "field_name": "ethernet.dst_addr" },
{ "field_bit": 14, "field_name": "ethernet.dst_addr" },
{ "field_bit": 1, "field_name": "ethernet.dst_addr" },
{ "field_bit": 2, "field_name": "ethernet.dst_addr" },
{ "field_bit": 3, "field_name": "ethernet.dst_addr" },
{ "field_bit": 4, "field_name": "ethernet.dst_addr" },
{ "field_bit": 5, "field_name": "ethernet.dst_addr" },
{ "field_bit": 7, "field_name": "ethernet.dst_addr" },
{ "field_bit": 25, "field_name": "ethernet.dst_addr" },
{ "field_bit": 26, "field_name": "ethernet.dst_addr" },
{ "field_bit": 31, "field_name": "ethernet.dst_addr" },
{ "field_bit": 17, "field_name": "ethernet.dst_addr" },
{ "field_bit": 20, "field_name": "ethernet.dst_addr" },
{ "field_bit": 47, "field_name": "ethernet.dst_addr" },
{ "field_bit": 35, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 14,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 10, "field_name": "ethernet.dst_addr" },
{ "field_bit": 12, "field_name": "ethernet.dst_addr" },
{ "field_bit": 14, "field_name": "ethernet.dst_addr" },
{ "field_bit": 15, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 1, "field_name": "ethernet.dst_addr" },
{ "field_bit": 5, "field_name": "ethernet.dst_addr" },
{ "field_bit": 25, "field_name": "ethernet.dst_addr" },
{ "field_bit": 27, "field_name": "ethernet.dst_addr" },
{ "field_bit": 28, "field_name": "ethernet.dst_addr" },
{ "field_bit": 30, "field_name": "ethernet.dst_addr" },
{ "field_bit": 31, "field_name": "ethernet.dst_addr" },
{ "field_bit": 16, "field_name": "ethernet.dst_addr" },
{ "field_bit": 19, "field_name": "ethernet.dst_addr" },
{ "field_bit": 20, "field_name": "ethernet.dst_addr" },
{ "field_bit": 43, "field_name": "ethernet.dst_addr" },
{ "field_bit": 46, "field_name": "ethernet.dst_addr" },
{ "field_bit": 47, "field_name": "ethernet.dst_addr" },
{ "field_bit": 36, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 15,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 8, "field_name": "ethernet.dst_addr" },
{ "field_bit": 9, "field_name": "ethernet.dst_addr" },
{ "field_bit": 10, "field_name": "ethernet.dst_addr" },
{ "field_bit": 12, "field_name": "ethernet.dst_addr" },
{ "field_bit": 13, "field_name": "ethernet.dst_addr" },
{ "field_bit": 14, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 1, "field_name": "ethernet.dst_addr" },
{ "field_bit": 2, "field_name": "ethernet.dst_addr" },
{ "field_bit": 3, "field_name": "ethernet.dst_addr" },
{ "field_bit": 4, "field_name": "ethernet.dst_addr" },
{ "field_bit": 6, "field_name": "ethernet.dst_addr" },
{ "field_bit": 24, "field_name": "ethernet.dst_addr" },
{ "field_bit": 25, "field_name": "ethernet.dst_addr" },
{ "field_bit": 27, "field_name": "ethernet.dst_addr" },
{ "field_bit": 30, "field_name": "ethernet.dst_addr" },
{ "field_bit": 17, "field_name": "ethernet.dst_addr" },
{ "field_bit": 19, "field_name": "ethernet.dst_addr" },
{ "field_bit": 20, "field_name": "ethernet.dst_addr" },
{ "field_bit": 21, "field_name": "ethernet.dst_addr" },
{ "field_bit": 22, "field_name": "ethernet.dst_addr" },
{ "field_bit": 41, "field_name": "ethernet.dst_addr" },
{ "field_bit": 42, "field_name": "ethernet.dst_addr" },
{ "field_bit": 43, "field_name": "ethernet.dst_addr" },
{ "field_bit": 44, "field_name": "ethernet.dst_addr" },
{ "field_bit": 45, "field_name": "ethernet.dst_addr" },
{ "field_bit": 37, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 16,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 8, "field_name": "ethernet.dst_addr" },
{ "field_bit": 9, "field_name": "ethernet.dst_addr" },
{ "field_bit": 10, "field_name": "ethernet.dst_addr" },
{ "field_bit": 15, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 1, "field_name": "ethernet.dst_addr" },
{ "field_bit": 2, "field_name": "ethernet.dst_addr" },
{ "field_bit": 3, "field_name": "ethernet.dst_addr" },
{ "field_bit": 5, "field_name": "ethernet.dst_addr" },
{ "field_bit": 6, "field_name": "ethernet.dst_addr" },
{ "field_bit": 7, "field_name": "ethernet.dst_addr" },
{ "field_bit": 24, "field_name": "ethernet.dst_addr" },
{ "field_bit": 25, "field_name": "ethernet.dst_addr" },
{ "field_bit": 26, "field_name": "ethernet.dst_addr" },
{ "field_bit": 31, "field_name": "ethernet.dst_addr" },
{ "field_bit": 16, "field_name": "ethernet.dst_addr" },
{ "field_bit": 18, "field_name": "ethernet.dst_addr" },
{ "field_bit": 22, "field_name": "ethernet.dst_addr" },
{ "field_bit": 23, "field_name": "ethernet.dst_addr" },
{ "field_bit": 45, "field_name": "ethernet.dst_addr" },
{ "field_bit": 38, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 17,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 9, "field_name": "ethernet.dst_addr" },
{ "field_bit": 10, "field_name": "ethernet.dst_addr" },
{ "field_bit": 11, "field_name": "ethernet.dst_addr" },
{ "field_bit": 15, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 1, "field_name": "ethernet.dst_addr" },
{ "field_bit": 2, "field_name": "ethernet.dst_addr" },
{ "field_bit": 4, "field_name": "ethernet.dst_addr" },
{ "field_bit": 5, "field_name": "ethernet.dst_addr" },
{ "field_bit": 6, "field_name": "ethernet.dst_addr" },
{ "field_bit": 27, "field_name": "ethernet.dst_addr" },
{ "field_bit": 28, "field_name": "ethernet.dst_addr" },
{ "field_bit": 29, "field_name": "ethernet.dst_addr" },
{ "field_bit": 31, "field_name": "ethernet.dst_addr" },
{ "field_bit": 17, "field_name": "ethernet.dst_addr" },
{ "field_bit": 18, "field_name": "ethernet.dst_addr" },
{ "field_bit": 20, "field_name": "ethernet.dst_addr" },
{ "field_bit": 21, "field_name": "ethernet.dst_addr" },
{ "field_bit": 22, "field_name": "ethernet.dst_addr" },
{ "field_bit": 40, "field_name": "ethernet.dst_addr" },
{ "field_bit": 42, "field_name": "ethernet.dst_addr" },
{ "field_bit": 44, "field_name": "ethernet.dst_addr" },
{ "field_bit": 39, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 18,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 8, "field_name": "ethernet.dst_addr" },
{ "field_bit": 10, "field_name": "ethernet.dst_addr" },
{ "field_bit": 13, "field_name": "ethernet.dst_addr" },
{ "field_bit": 15, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 3, "field_name": "ethernet.dst_addr" },
{ "field_bit": 4, "field_name": "ethernet.dst_addr" },
{ "field_bit": 5, "field_name": "ethernet.dst_addr" },
{ "field_bit": 7, "field_name": "ethernet.dst_addr" },
{ "field_bit": 25, "field_name": "ethernet.dst_addr" },
{ "field_bit": 29, "field_name": "ethernet.dst_addr" },
{ "field_bit": 31, "field_name": "ethernet.dst_addr" },
{ "field_bit": 16, "field_name": "ethernet.dst_addr" },
{ "field_bit": 18, "field_name": "ethernet.dst_addr" },
{ "field_bit": 19, "field_name": "ethernet.dst_addr" },
{ "field_bit": 20, "field_name": "ethernet.dst_addr" },
{ "field_bit": 21, "field_name": "ethernet.dst_addr" },
{ "field_bit": 22, "field_name": "ethernet.dst_addr" },
{ "field_bit": 40, "field_name": "ethernet.dst_addr" },
{ "field_bit": 41, "field_name": "ethernet.dst_addr" },
{ "field_bit": 44, "field_name": "ethernet.dst_addr" },
{ "field_bit": 46, "field_name": "ethernet.dst_addr" },
{ "field_bit": 47, "field_name": "ethernet.dst_addr" },
{ "field_bit": 32, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 19,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 12, "field_name": "ethernet.dst_addr" },
{ "field_bit": 13, "field_name": "ethernet.dst_addr" },
{ "field_bit": 14, "field_name": "ethernet.dst_addr" },
{ "field_bit": 15, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 1, "field_name": "ethernet.dst_addr" },
{ "field_bit": 3, "field_name": "ethernet.dst_addr" },
{ "field_bit": 4, "field_name": "ethernet.dst_addr" },
{ "field_bit": 7, "field_name": "ethernet.dst_addr" },
{ "field_bit": 24, "field_name": "ethernet.dst_addr" },
{ "field_bit": 26, "field_name": "ethernet.dst_addr" },
{ "field_bit": 27, "field_name": "ethernet.dst_addr" },
{ "field_bit": 28, "field_name": "ethernet.dst_addr" },
{ "field_bit": 30, "field_name": "ethernet.dst_addr" },
{ "field_bit": 17, "field_name": "ethernet.dst_addr" },
{ "field_bit": 18, "field_name": "ethernet.dst_addr" },
{ "field_bit": 19, "field_name": "ethernet.dst_addr" },
{ "field_bit": 21, "field_name": "ethernet.dst_addr" },
{ "field_bit": 22, "field_name": "ethernet.dst_addr" },
{ "field_bit": 41, "field_name": "ethernet.dst_addr" },
{ "field_bit": 44, "field_name": "ethernet.dst_addr" },
{ "field_bit": 33, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 20,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 8, "field_name": "ethernet.dst_addr" },
{ "field_bit": 9, "field_name": "ethernet.dst_addr" },
{ "field_bit": 13, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 4, "field_name": "ethernet.dst_addr" },
{ "field_bit": 5, "field_name": "ethernet.dst_addr" },
{ "field_bit": 24, "field_name": "ethernet.dst_addr" },
{ "field_bit": 26, "field_name": "ethernet.dst_addr" },
{ "field_bit": 28, "field_name": "ethernet.dst_addr" },
{ "field_bit": 31, "field_name": "ethernet.dst_addr" },
{ "field_bit": 16, "field_name": "ethernet.dst_addr" },
{ "field_bit": 21, "field_name": "ethernet.dst_addr" },
{ "field_bit": 42, "field_name": "ethernet.dst_addr" },
{ "field_bit": 45, "field_name": "ethernet.dst_addr" },
{ "field_bit": 46, "field_name": "ethernet.dst_addr" },
{ "field_bit": 32, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 21,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 8, "field_name": "ethernet.dst_addr" },
{ "field_bit": 13, "field_name": "ethernet.dst_addr" },
{ "field_bit": 14, "field_name": "ethernet.dst_addr" },
{ "field_bit": 15, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 1, "field_name": "ethernet.dst_addr" },
{ "field_bit": 2, "field_name": "ethernet.dst_addr" },
{ "field_bit": 4, "field_name": "ethernet.dst_addr" },
{ "field_bit": 6, "field_name": "ethernet.dst_addr" },
{ "field_bit": 7, "field_name": "ethernet.dst_addr" },
{ "field_bit": 24, "field_name": "ethernet.dst_addr" },
{ "field_bit": 25, "field_name": "ethernet.dst_addr" },
{ "field_bit": 26, "field_name": "ethernet.dst_addr" },
{ "field_bit": 27, "field_name": "ethernet.dst_addr" },
{ "field_bit": 30, "field_name": "ethernet.dst_addr" },
{ "field_bit": 17, "field_name": "ethernet.dst_addr" },
{ "field_bit": 22, "field_name": "ethernet.dst_addr" },
{ "field_bit": 41, "field_name": "ethernet.dst_addr" },
{ "field_bit": 43, "field_name": "ethernet.dst_addr" },
{ "field_bit": 44, "field_name": "ethernet.dst_addr" },
{ "field_bit": 45, "field_name": "ethernet.dst_addr" },
{ "field_bit": 33, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 22,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 10, "field_name": "ethernet.dst_addr" },
{ "field_bit": 11, "field_name": "ethernet.dst_addr" },
{ "field_bit": 14, "field_name": "ethernet.dst_addr" },
{ "field_bit": 15, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 3, "field_name": "ethernet.dst_addr" },
{ "field_bit": 5, "field_name": "ethernet.dst_addr" },
{ "field_bit": 6, "field_name": "ethernet.dst_addr" },
{ "field_bit": 7, "field_name": "ethernet.dst_addr" },
{ "field_bit": 24, "field_name": "ethernet.dst_addr" },
{ "field_bit": 25, "field_name": "ethernet.dst_addr" },
{ "field_bit": 28, "field_name": "ethernet.dst_addr" },
{ "field_bit": 29, "field_name": "ethernet.dst_addr" },
{ "field_bit": 31, "field_name": "ethernet.dst_addr" },
{ "field_bit": 16, "field_name": "ethernet.dst_addr" },
{ "field_bit": 21, "field_name": "ethernet.dst_addr" },
{ "field_bit": 22, "field_name": "ethernet.dst_addr" },
{ "field_bit": 23, "field_name": "ethernet.dst_addr" },
{ "field_bit": 41, "field_name": "ethernet.dst_addr" },
{ "field_bit": 42, "field_name": "ethernet.dst_addr" },
{ "field_bit": 43, "field_name": "ethernet.dst_addr" },
{ "field_bit": 45, "field_name": "ethernet.dst_addr" },
{ "field_bit": 47, "field_name": "ethernet.dst_addr" },
{ "field_bit": 34, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 23,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 8, "field_name": "ethernet.dst_addr" },
{ "field_bit": 9, "field_name": "ethernet.dst_addr" },
{ "field_bit": 11, "field_name": "ethernet.dst_addr" },
{ "field_bit": 15, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 3, "field_name": "ethernet.dst_addr" },
{ "field_bit": 5, "field_name": "ethernet.dst_addr" },
{ "field_bit": 6, "field_name": "ethernet.dst_addr" },
{ "field_bit": 24, "field_name": "ethernet.dst_addr" },
{ "field_bit": 25, "field_name": "ethernet.dst_addr" },
{ "field_bit": 27, "field_name": "ethernet.dst_addr" },
{ "field_bit": 28, "field_name": "ethernet.dst_addr" },
{ "field_bit": 31, "field_name": "ethernet.dst_addr" },
{ "field_bit": 17, "field_name": "ethernet.dst_addr" },
{ "field_bit": 18, "field_name": "ethernet.dst_addr" },
{ "field_bit": 19, "field_name": "ethernet.dst_addr" },
{ "field_bit": 22, "field_name": "ethernet.dst_addr" },
{ "field_bit": 40, "field_name": "ethernet.dst_addr" },
{ "field_bit": 43, "field_name": "ethernet.dst_addr" },
{ "field_bit": 44, "field_name": "ethernet.dst_addr" },
{ "field_bit": 46, "field_name": "ethernet.dst_addr" },
{ "field_bit": 35, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 24,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 9, "field_name": "ethernet.dst_addr" },
{ "field_bit": 15, "field_name": "ethernet.dst_addr" },
{ "field_bit": 1, "field_name": "ethernet.dst_addr" },
{ "field_bit": 2, "field_name": "ethernet.dst_addr" },
{ "field_bit": 7, "field_name": "ethernet.dst_addr" },
{ "field_bit": 24, "field_name": "ethernet.dst_addr" },
{ "field_bit": 27, "field_name": "ethernet.dst_addr" },
{ "field_bit": 29, "field_name": "ethernet.dst_addr" },
{ "field_bit": 31, "field_name": "ethernet.dst_addr" },
{ "field_bit": 16, "field_name": "ethernet.dst_addr" },
{ "field_bit": 18, "field_name": "ethernet.dst_addr" },
{ "field_bit": 20, "field_name": "ethernet.dst_addr" },
{ "field_bit": 21, "field_name": "ethernet.dst_addr" },
{ "field_bit": 40, "field_name": "ethernet.dst_addr" },
{ "field_bit": 42, "field_name": "ethernet.dst_addr" },
{ "field_bit": 43, "field_name": "ethernet.dst_addr" },
{ "field_bit": 44, "field_name": "ethernet.dst_addr" },
{ "field_bit": 46, "field_name": "ethernet.dst_addr" },
{ "field_bit": 47, "field_name": "ethernet.dst_addr" },
{ "field_bit": 36, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 25,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 8, "field_name": "ethernet.dst_addr" },
{ "field_bit": 9, "field_name": "ethernet.dst_addr" },
{ "field_bit": 12, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 1, "field_name": "ethernet.dst_addr" },
{ "field_bit": 4, "field_name": "ethernet.dst_addr" },
{ "field_bit": 6, "field_name": "ethernet.dst_addr" },
{ "field_bit": 7, "field_name": "ethernet.dst_addr" },
{ "field_bit": 24, "field_name": "ethernet.dst_addr" },
{ "field_bit": 25, "field_name": "ethernet.dst_addr" },
{ "field_bit": 26, "field_name": "ethernet.dst_addr" },
{ "field_bit": 29, "field_name": "ethernet.dst_addr" },
{ "field_bit": 30, "field_name": "ethernet.dst_addr" },
{ "field_bit": 31, "field_name": "ethernet.dst_addr" },
{ "field_bit": 17, "field_name": "ethernet.dst_addr" },
{ "field_bit": 21, "field_name": "ethernet.dst_addr" },
{ "field_bit": 23, "field_name": "ethernet.dst_addr" },
{ "field_bit": 45, "field_name": "ethernet.dst_addr" },
{ "field_bit": 47, "field_name": "ethernet.dst_addr" },
{ "field_bit": 37, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 26,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 8, "field_name": "ethernet.dst_addr" },
{ "field_bit": 11, "field_name": "ethernet.dst_addr" },
{ "field_bit": 13, "field_name": "ethernet.dst_addr" },
{ "field_bit": 14, "field_name": "ethernet.dst_addr" },
{ "field_bit": 15, "field_name": "ethernet.dst_addr" },
{ "field_bit": 1, "field_name": "ethernet.dst_addr" },
{ "field_bit": 3, "field_name": "ethernet.dst_addr" },
{ "field_bit": 4, "field_name": "ethernet.dst_addr" },
{ "field_bit": 6, "field_name": "ethernet.dst_addr" },
{ "field_bit": 24, "field_name": "ethernet.dst_addr" },
{ "field_bit": 25, "field_name": "ethernet.dst_addr" },
{ "field_bit": 26, "field_name": "ethernet.dst_addr" },
{ "field_bit": 27, "field_name": "ethernet.dst_addr" },
{ "field_bit": 29, "field_name": "ethernet.dst_addr" },
{ "field_bit": 16, "field_name": "ethernet.dst_addr" },
{ "field_bit": 18, "field_name": "ethernet.dst_addr" },
{ "field_bit": 40, "field_name": "ethernet.dst_addr" },
{ "field_bit": 41, "field_name": "ethernet.dst_addr" },
{ "field_bit": 43, "field_name": "ethernet.dst_addr" },
{ "field_bit": 44, "field_name": "ethernet.dst_addr" },
{ "field_bit": 46, "field_name": "ethernet.dst_addr" },
{ "field_bit": 38, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 27,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 9, "field_name": "ethernet.dst_addr" },
{ "field_bit": 10, "field_name": "ethernet.dst_addr" },
{ "field_bit": 12, "field_name": "ethernet.dst_addr" },
{ "field_bit": 13, "field_name": "ethernet.dst_addr" },
{ "field_bit": 14, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 2, "field_name": "ethernet.dst_addr" },
{ "field_bit": 5, "field_name": "ethernet.dst_addr" },
{ "field_bit": 24, "field_name": "ethernet.dst_addr" },
{ "field_bit": 25, "field_name": "ethernet.dst_addr" },
{ "field_bit": 26, "field_name": "ethernet.dst_addr" },
{ "field_bit": 27, "field_name": "ethernet.dst_addr" },
{ "field_bit": 28, "field_name": "ethernet.dst_addr" },
{ "field_bit": 29, "field_name": "ethernet.dst_addr" },
{ "field_bit": 30, "field_name": "ethernet.dst_addr" },
{ "field_bit": 17, "field_name": "ethernet.dst_addr" },
{ "field_bit": 21, "field_name": "ethernet.dst_addr" },
{ "field_bit": 23, "field_name": "ethernet.dst_addr" },
{ "field_bit": 43, "field_name": "ethernet.dst_addr" },
{ "field_bit": 44, "field_name": "ethernet.dst_addr" },
{ "field_bit": 45, "field_name": "ethernet.dst_addr" },
{ "field_bit": 39, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 28,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 8, "field_name": "ethernet.dst_addr" },
{ "field_bit": 14, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 4, "field_name": "ethernet.dst_addr" },
{ "field_bit": 5, "field_name": "ethernet.dst_addr" },
{ "field_bit": 6, "field_name": "ethernet.dst_addr" },
{ "field_bit": 7, "field_name": "ethernet.dst_addr" },
{ "field_bit": 25, "field_name": "ethernet.dst_addr" },
{ "field_bit": 26, "field_name": "ethernet.dst_addr" },
{ "field_bit": 28, "field_name": "ethernet.dst_addr" },
{ "field_bit": 29, "field_name": "ethernet.dst_addr" },
{ "field_bit": 30, "field_name": "ethernet.dst_addr" },
{ "field_bit": 31, "field_name": "ethernet.dst_addr" },
{ "field_bit": 16, "field_name": "ethernet.dst_addr" },
{ "field_bit": 19, "field_name": "ethernet.dst_addr" },
{ "field_bit": 21, "field_name": "ethernet.dst_addr" },
{ "field_bit": 22, "field_name": "ethernet.dst_addr" },
{ "field_bit": 23, "field_name": "ethernet.dst_addr" },
{ "field_bit": 40, "field_name": "ethernet.dst_addr" },
{ "field_bit": 42, "field_name": "ethernet.dst_addr" },
{ "field_bit": 43, "field_name": "ethernet.dst_addr" },
{ "field_bit": 44, "field_name": "ethernet.dst_addr" },
{ "field_bit": 45, "field_name": "ethernet.dst_addr" },
{ "field_bit": 32, "field_name": "ethernet.dst_addr" } ] },
{
"hash_bit": 29,
"seed": 0,
"bits_to_xor": [
{ "field_bit": 9, "field_name": "ethernet.dst_addr" },
{ "field_bit": 10, "field_name": "ethernet.dst_addr" },
{ "field_bit": 12, "field_name": "ethernet.dst_addr" },
{ "field_bit": 14, "field_name": "ethernet.dst_addr" },
{ "field_bit": 0, "field_name": "ethernet.dst_addr" },
{ "field_bit": 1, "field_name": "ethernet.dst_addr" },
{ "field_bit": 2, "field_name": "ethernet.dst_addr" },
{ "field_bit": 4, "field_name": "ethernet.dst_addr" },
{ "field_bit": 24, "field_name": "ethernet.dst_addr" },
{ "field_bit": 28, "field_name": "ethernet.dst_addr" },
{ "field_bit": 29, "field_name": "ethernet.dst_addr" },
{ "field_bit": 30, "field_name": "ethernet.dst_addr" },
{ "field_bit": 17, "field_name": "ethernet.dst_addr" },
{ "field_bit": 18, "field_name": "ethernet.dst_addr" },
{ "field_bit": 20, "field_name": "ethernet.dst_addr" },
{ "field_bit": 22, "field_name": "ethernet.dst_addr" },
{ "field_bit": 23, "field_name": "ethernet.dst_addr" },
{ "field_bit": 40, "field_name": "ethernet.dst_addr" },
{ "field_bit": 41, "field_name": "ethernet.dst_addr" },
{ "field_bit": 44, "field_name": "ethernet.dst_addr" },
{ "field_bit": 45, "field_name": "ethernet.dst_addr" },
{ "field_bit": 47, "field_name": "ethernet.dst_addr" },
{ "field_bit": 33, "field_name": "ethernet.dst_addr" } ] } ] } ],
"action_format": [
{
"next_table": 1,
"next_table_full": 32,
"action_name": "NoAction",
"action_handle": 536870919,
"table_name": "l3_fwd_l3_ipv4_override_table_0",
"vliw_instruction": 0,
"vliw_instruction_full": 64,
"immediate_fields": [] } ],
"pack_format": [
{
"table_word_width": 128,
"memory_word_width": 128,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 1 } ],
"ways": [
{
"stage_number": 1,
"way_number": 0,
"stage_table_type": "hash_way",
"size": 1024,
"pack_format": [
{
"memory_word_width": 128,
"table_word_width": 128,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 1,
"entries": [
{
"entry_number": 0,
"fields": [
{
"start_bit": 0,
"field_width": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 0,
"field_name": "--instruction_address--",
"match_mode": "unused",
"enable_pfe": false },
{
"field_name": "ethernet.dst_addr",
"source": "spec",
"lsb_mem_word_offset": 56,
"start_bit": 0,
"immediate_name": "",
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"match_mode": "unused",
"enable_pfe": false,
"field_width": 8 },
{
"field_name": "ethernet.dst_addr",
"source": "spec",
"lsb_mem_word_offset": 32,
"start_bit": 8,
"immediate_name": "",
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"match_mode": "unused",
"enable_pfe": false,
"field_width": 8 },
{
"field_name": "ethernet.dst_addr",
"source": "spec",
"lsb_mem_word_offset": 66,
"start_bit": 18,
"immediate_name": "",
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"match_mode": "unused",
"enable_pfe": false,
"field_width": 6 },
{
"field_name": "ethernet.dst_addr",
"source": "spec",
"lsb_mem_word_offset": 40,
"start_bit": 24,
"immediate_name": "",
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"match_mode": "unused",
"enable_pfe": false,
"field_width": 8 },
{
"field_name": "ethernet.dst_addr",
"source": "spec",
"lsb_mem_word_offset": 48,
"start_bit": 40,
"immediate_name": "",
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"match_mode": "unused",
"enable_pfe": false,
"field_width": 8 },
{
"start_bit": 0,
"field_width": 4,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "version",
"lsb_mem_word_offset": 112,
"field_name": "--version_valid--",
"match_mode": "unused",
"enable_pfe": false } ] } ] } ],
"memory_resource_allocation": {
"hash_function_id": 0,
"hash_entry_bit_lo": 0,
"hash_entry_bit_hi": 9,
"number_entry_bits": 10,
"hash_select_bit_hi": 40,
"hash_select_bit_lo": 40,
"number_select_bits": 0,
"memory_units_and_vpns": [ { "memory_units": [ 86 ], "vpns": [ 0 ] } ] } },
{
"stage_number": 1,
"way_number": 1,
"stage_table_type": "hash_way",
"size": 1024,
"pack_format": [
{
"memory_word_width": 128,
"table_word_width": 128,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 1,
"entries": [
{
"entry_number": 0,
"fields": [
{
"start_bit": 0,
"field_width": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 0,
"field_name": "--instruction_address--",
"match_mode": "unused",
"enable_pfe": false },
{
"field_name": "ethernet.dst_addr",
"source": "spec",
"lsb_mem_word_offset": 56,
"start_bit": 0,
"immediate_name": "",
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"match_mode": "unused",
"enable_pfe": false,
"field_width": 8 },
{
"field_name": "ethernet.dst_addr",
"source": "spec",
"lsb_mem_word_offset": 32,
"start_bit": 8,
"immediate_name": "",
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"match_mode": "unused",
"enable_pfe": false,
"field_width": 8 },
{
"field_name": "ethernet.dst_addr",
"source": "spec",
"lsb_mem_word_offset": 66,
"start_bit": 18,
"immediate_name": "",
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"match_mode": "unused",
"enable_pfe": false,
"field_width": 6 },
{
"field_name": "ethernet.dst_addr",
"source": "spec",
"lsb_mem_word_offset": 40,
"start_bit": 24,
"immediate_name": "",
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"match_mode": "unused",
"enable_pfe": false,
"field_width": 8 },
{
"field_name": "ethernet.dst_addr",
"source": "spec",
"lsb_mem_word_offset": 48,
"start_bit": 40,
"immediate_name": "",
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"match_mode": "unused",
"enable_pfe": false,
"field_width": 8 },
{
"start_bit": 0,
"field_width": 4,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "version",
"lsb_mem_word_offset": 112,
"field_name": "--version_valid--",
"match_mode": "unused",
"enable_pfe": false } ] } ] } ],
"memory_resource_allocation": {
"hash_function_id": 0,
"hash_entry_bit_lo": 10,
"hash_entry_bit_hi": 19,
"number_entry_bits": 10,
"hash_select_bit_hi": 40,
"hash_select_bit_lo": 40,
"number_select_bits": 0,
"memory_units_and_vpns": [ { "memory_units": [ 87 ], "vpns": [ 1 ] } ] } },
{
"stage_number": 1,
"way_number": 2,
"stage_table_type": "hash_way",
"size": 1024,
"pack_format": [
{
"memory_word_width": 128,
"table_word_width": 128,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 1,
"entries": [
{
"entry_number": 0,
"fields": [
{
"start_bit": 0,
"field_width": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 0,
"field_name": "--instruction_address--",
"match_mode": "unused",
"enable_pfe": false },
{
"field_name": "ethernet.dst_addr",
"source": "spec",
"lsb_mem_word_offset": 56,
"start_bit": 0,
"immediate_name": "",
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"match_mode": "unused",
"enable_pfe": false,
"field_width": 8 },
{
"field_name": "ethernet.dst_addr",
"source": "spec",
"lsb_mem_word_offset": 32,
"start_bit": 8,
"immediate_name": "",
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"match_mode": "unused",
"enable_pfe": false,
"field_width": 8 },
{
"field_name": "ethernet.dst_addr",
"source": "spec",
"lsb_mem_word_offset": 66,
"start_bit": 18,
"immediate_name": "",
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"match_mode": "unused",
"enable_pfe": false,
"field_width": 6 },
{
"field_name": "ethernet.dst_addr",
"source": "spec",
"lsb_mem_word_offset": 40,
"start_bit": 24,
"immediate_name": "",
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"match_mode": "unused",
"enable_pfe": false,
"field_width": 8 },
{
"field_name": "ethernet.dst_addr",
"source": "spec",
"lsb_mem_word_offset": 48,
"start_bit": 40,
"immediate_name": "",
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"match_mode": "unused",
"enable_pfe": false,
"field_width": 8 },
{
"start_bit": 0,
"field_width": 4,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "version",
"lsb_mem_word_offset": 112,
"field_name": "--version_valid--",
"match_mode": "unused",
"enable_pfe": false } ] } ] } ],
"memory_resource_allocation": {
"hash_function_id": 0,
"hash_entry_bit_lo": 20,
"hash_entry_bit_hi": 29,
"number_entry_bits": 10,
"hash_select_bit_hi": 40,
"hash_select_bit_lo": 40,
"number_select_bits": 0,
"memory_units_and_vpns": [ { "memory_units": [ 90 ], "vpns": [ 2 ] } ] } } ] } ],
"match_type": "exact",
"uses_dynamic_key_masks": false },
"actions": [
{
"name": "NoAction",
"handle": 536870919,
"indirect_resources": [],
"allowed_as_default_action": true,
"p4_parameters": [],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 } ],
"meter_table_refs": [],
"selection_table_refs": [],
"stateful_table_refs": [],
"action_data_table_refs": [] },
{
"direction": "egress",
"handle": 16777227,
"name": "tbl_act_7",
"table_type": "match",
"size": 1,
"stage_tables": [],
"statistics_table_refs": [],
"match_attributes": {
"match_type": "match_with_no_key",
"uses_dynamic_key_masks": false,
"stage_tables": [
{
"stage_number": 1,
"logical_table_id": 1,
"memory_resource_allocation": null,
"size": 1,
"stage_table_type": "match_with_no_key",
"default_next_table": 255,
"pack_format": [
{
"table_word_width": 0,
"memory_word_width": 0,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 0 } ],
"action_format": [
{
"next_table": 1,
"next_table_full": 35,
"action_name": "act_7",
"action_handle": 536870931,
"table_name": "cond-12",
"vliw_instruction": 1,
"vliw_instruction_full": 64,
"immediate_fields": [] } ],
"hash_functions": [] } ] },
"preferred_match_type": "exact",
"actions": [
{
"name": "act_7",
"handle": 536870931,
"indirect_resources": [],
"allowed_as_default_action": false,
"disallowed_as_default_action_reason": "USES_HASH_DIST",
"p4_parameters": [],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 } ],
"default_action_handle": 536870931,
"action_profile": "",
"default_next_table_mask": 0,
"ap_bind_indirect_res_to_match": [],
"is_resource_controllable": true,
"uses_range": false,
"match_key_fields": [],
"performs_hash_action": false,
"meter_table_refs": [],
"selection_table_refs": [],
"stateful_table_refs": [],
"action_data_table_refs": [] },
{
"direction": "ingress",
"handle": 16777228,
"name": "l3_fwd.l3_ipv4_override_table",
"table_type": "match",
"size": 512,
"statistics_table_refs": [],
"match_attributes": {
"stage_tables": [
{
"stage_number": 2,
"size": 512,
"stage_table_type": "ternary_match",
"logical_table_id": 0,
"pack_format": [
{
"table_word_width": 47,
"memory_word_width": 47,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 1,
"entries": [
{
"entry_number": 0,
"fields": [
{
"field_name": "--tcam_payload_0--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--version--",
"lsb_mem_word_offset": 43,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "version",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "--tcam_parity_0--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ipv4_base.dst_addr",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 0,
"field_width": 32 } ] } ] } ],
"memory_resource_allocation": {
"memory_type": "tcam",
"memory_units_and_vpns": [ { "memory_units": [ 2 ], "vpns": [ 0 ] } ],
"spare_bank_memory_unit": 2 },
"default_next_table": 255,
"ternary_indirection_stage_table": {
"stage_number": 2,
"stage_table_type": "ternary_indirection",
"size": 4096,
"pack_format": [
{
"memory_word_width": 128,
"table_word_width": 128,
"entries_per_table_word": 4,
"number_memory_units_per_table_word": 1,
"entries": [
{
"entry_number": 3,
"fields": [
{
"start_bit": 0,
"field_width": 8,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 120,
"field_name": "--padding_24_31--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 2,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 96,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 5,
"field_width": 11,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "adt_ptr",
"lsb_mem_word_offset": 109,
"field_name": "--action_data_pointer--",
"enable_pfe": false },
{
"start_bit": 7,
"field_width": 10,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "sel_ptr",
"lsb_mem_word_offset": 98,
"field_name": "--selection_base--",
"enable_pfe": true } ] },
{
"entry_number": 2,
"fields": [
{
"start_bit": 0,
"field_width": 8,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 88,
"field_name": "--padding_24_31--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 2,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 64,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 5,
"field_width": 11,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "adt_ptr",
"lsb_mem_word_offset": 77,
"field_name": "--action_data_pointer--",
"enable_pfe": false },
{
"start_bit": 7,
"field_width": 10,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "sel_ptr",
"lsb_mem_word_offset": 66,
"field_name": "--selection_base--",
"enable_pfe": true } ] },
{
"entry_number": 1,
"fields": [
{
"start_bit": 0,
"field_width": 8,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 56,
"field_name": "--padding_24_31--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 2,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 32,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 5,
"field_width": 11,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "adt_ptr",
"lsb_mem_word_offset": 45,
"field_name": "--action_data_pointer--",
"enable_pfe": false },
{
"start_bit": 7,
"field_width": 10,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "sel_ptr",
"lsb_mem_word_offset": 34,
"field_name": "--selection_base--",
"enable_pfe": true } ] },
{
"entry_number": 0,
"fields": [
{
"start_bit": 0,
"field_width": 8,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 24,
"field_name": "--padding_24_31--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 2,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 0,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 5,
"field_width": 11,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "adt_ptr",
"lsb_mem_word_offset": 13,
"field_name": "--action_data_pointer--",
"enable_pfe": false },
{
"start_bit": 7,
"field_width": 10,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "sel_ptr",
"lsb_mem_word_offset": 2,
"field_name": "--selection_base--",
"enable_pfe": true } ] } ] } ],
"memory_resource_allocation": {
"memory_type": "sram",
"memory_units_and_vpns": [ { "memory_units": [ 2 ], "vpns": [ 0 ] } ],
"spare_bank_memory_unit": 2 },
"action_format": [
{
"next_table": 1,
"next_table_full": 48,
"action_name": "NoAction",
"action_handle": 536870921,
"table_name": "tbl_act_2",
"vliw_instruction": 0,
"vliw_instruction_full": 65,
"immediate_fields": [] },
{
"next_table": 0,
"next_table_full": 255,
"action_name": "l3_fwd.set_nexthop",
"action_handle": 536870920,
"table_name": "--END_OF_PIPELINE--",
"vliw_instruction": 1,
"vliw_instruction_full": 64,
"immediate_fields": [
{
"param_name": "dmac",
"param_type": "parameter",
"param_shift": 0,
"dest_start": 0,
"dest_width": 16 },
{
"param_name": "dmac",
"param_type": "parameter",
"param_shift": 16,
"dest_start": 0,
"dest_width": 16 },
{
"param_name": "dmac",
"param_type": "parameter",
"param_shift": 32,
"dest_start": 0,
"dest_width": 16 },
{
"param_name": "port",
"param_type": "parameter",
"param_shift": 0,
"dest_start": 0,
"dest_width": 9 },
{
"param_name": "smac",
"param_type": "parameter",
"param_shift": 0,
"dest_start": 0,
"dest_width": 16 },
{
"param_name": "smac",
"param_type": "parameter",
"param_shift": 16,
"dest_start": 0,
"dest_width": 16 },
{
"param_name": "smac",
"param_type": "parameter",
"param_shift": 32,
"dest_start": 0,
"dest_width": 16 } ] } ] } } ],
"match_type": "ternary" },
"action_data_table_refs": [
{
"how_referenced": "indirect",
"handle": 33554433,
"name": "l3_fwd.wcmp_action_profile" } ],
"meter_table_refs": [],
"selection_table_refs": [
{
"how_referenced": "indirect",
"handle": 50331649,
"name": "l3_fwd.wcmp_action_profile" } ],
"default_selector_mask": 0,
"default_selector_value": 0,
"actions": [
{
"name": "NoAction",
"handle": 536870921,
"indirect_resources": [],
"allowed_as_default_action": true,
"p4_parameters": [],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 },
{
"name": "l3_fwd.set_nexthop",
"handle": 536870920,
"indirect_resources": [],
"allowed_as_default_action": true,
"p4_parameters": [
{ "name": "port", "start_bit": 0, "position": 0, "bit_width": 9 },
{ "name": "smac", "start_bit": 0, "position": 1, "bit_width": 48 },
{ "name": "dmac", "start_bit": 0, "position": 2, "bit_width": 48 } ],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 } ],
"default_action_handle": 0,
"action_profile": "l3_fwd.wcmp_action_profile",
"default_next_table_mask": 0,
"ap_bind_indirect_res_to_match": [],
"is_resource_controllable": true,
"uses_range": false,
"match_key_fields": [
{
"name": "ipv4_base.dst_addr",
"position": 0,
"match_type": "lpm",
"start_bit": 0,
"bit_width": 32,
"bit_width_full": 32,
"is_valid": false } ],
"stateful_table_refs": [] },
{
"direction": "ingress",
"handle": 16777229,
"name": "l3_fwd.l3_ipv4_vrf_table",
"table_type": "match",
"size": 512,
"statistics_table_refs": [],
"match_attributes": {
"stage_tables": [
{
"stage_number": 2,
"size": 512,
"stage_table_type": "ternary_match",
"logical_table_id": 1,
"pack_format": [
{
"table_word_width": 94,
"memory_word_width": 47,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 2,
"entries": [
{
"entry_number": 0,
"fields": [
{
"field_name": "--tcam_payload_1--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_1--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ipv4_base.dst_addr",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "spec",
"start_bit": 0,
"field_width": 32 },
{
"field_name": "local_metadata.vrf_id",
"lsb_mem_word_offset": 33,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "--tcam_payload_0--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--version--",
"lsb_mem_word_offset": 43,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "version",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "--tcam_parity_0--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "local_metadata.vrf_id",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 16,
"field_width": 16 },
{
"field_name": "local_metadata.vrf_id",
"lsb_mem_word_offset": 25,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 8,
"field_width": 8 } ] } ] } ],
"memory_resource_allocation": {
"memory_type": "tcam",
"memory_units_and_vpns": [ { "memory_units": [ 0, 1 ], "vpns": [ 0 ] } ],
"spare_bank_memory_unit": 1 },
"default_next_table": 255,
"ternary_indirection_stage_table": {
"stage_number": 2,
"stage_table_type": "ternary_indirection",
"size": 4096,
"pack_format": [
{
"memory_word_width": 128,
"table_word_width": 128,
"entries_per_table_word": 4,
"number_memory_units_per_table_word": 1,
"entries": [
{
"entry_number": 3,
"fields": [
{
"start_bit": 0,
"field_width": 9,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 119,
"field_name": "--padding_23_31--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 96,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 5,
"field_width": 11,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "adt_ptr",
"lsb_mem_word_offset": 108,
"field_name": "--action_data_pointer--",
"enable_pfe": false },
{
"start_bit": 7,
"field_width": 10,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "sel_ptr",
"lsb_mem_word_offset": 97,
"field_name": "--selection_base--",
"enable_pfe": true } ] },
{
"entry_number": 2,
"fields": [
{
"start_bit": 0,
"field_width": 9,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 87,
"field_name": "--padding_23_31--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 64,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 5,
"field_width": 11,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "adt_ptr",
"lsb_mem_word_offset": 76,
"field_name": "--action_data_pointer--",
"enable_pfe": false },
{
"start_bit": 7,
"field_width": 10,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "sel_ptr",
"lsb_mem_word_offset": 65,
"field_name": "--selection_base--",
"enable_pfe": true } ] },
{
"entry_number": 1,
"fields": [
{
"start_bit": 0,
"field_width": 9,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 55,
"field_name": "--padding_23_31--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 32,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 5,
"field_width": 11,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "adt_ptr",
"lsb_mem_word_offset": 44,
"field_name": "--action_data_pointer--",
"enable_pfe": false },
{
"start_bit": 7,
"field_width": 10,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "sel_ptr",
"lsb_mem_word_offset": 33,
"field_name": "--selection_base--",
"enable_pfe": true } ] },
{
"entry_number": 0,
"fields": [
{
"start_bit": 0,
"field_width": 9,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 23,
"field_name": "--padding_23_31--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 0,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 5,
"field_width": 11,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "adt_ptr",
"lsb_mem_word_offset": 12,
"field_name": "--action_data_pointer--",
"enable_pfe": false },
{
"start_bit": 7,
"field_width": 10,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "sel_ptr",
"lsb_mem_word_offset": 1,
"field_name": "--selection_base--",
"enable_pfe": true } ] } ] } ],
"memory_resource_allocation": {
"memory_type": "sram",
"memory_units_and_vpns": [ { "memory_units": [ 14 ], "vpns": [ 0 ] } ],
"spare_bank_memory_unit": 14 },
"action_format": [
{
"next_table": 1,
"next_table_full": 48,
"action_name": "NoAction",
"action_handle": 536870921,
"table_name": "tbl_act_2",
"vliw_instruction": 0,
"vliw_instruction_full": 65,
"immediate_fields": [] },
{
"next_table": 0,
"next_table_full": 255,
"action_name": "l3_fwd.set_nexthop",
"action_handle": 536870920,
"table_name": "--END_OF_PIPELINE--",
"vliw_instruction": 1,
"vliw_instruction_full": 64,
"immediate_fields": [
{
"param_name": "dmac",
"param_type": "parameter",
"param_shift": 0,
"dest_start": 0,
"dest_width": 16 },
{
"param_name": "dmac",
"param_type": "parameter",
"param_shift": 16,
"dest_start": 0,
"dest_width": 16 },
{
"param_name": "dmac",
"param_type": "parameter",
"param_shift": 32,
"dest_start": 0,
"dest_width": 16 },
{
"param_name": "port",
"param_type": "parameter",
"param_shift": 0,
"dest_start": 0,
"dest_width": 9 },
{
"param_name": "smac",
"param_type": "parameter",
"param_shift": 0,
"dest_start": 0,
"dest_width": 16 },
{
"param_name": "smac",
"param_type": "parameter",
"param_shift": 16,
"dest_start": 0,
"dest_width": 16 },
{
"param_name": "smac",
"param_type": "parameter",
"param_shift": 32,
"dest_start": 0,
"dest_width": 16 } ] } ] } } ],
"match_type": "ternary" },
"action_data_table_refs": [
{
"how_referenced": "indirect",
"handle": 33554433,
"name": "l3_fwd.wcmp_action_profile" } ],
"meter_table_refs": [],
"selection_table_refs": [
{
"how_referenced": "indirect",
"handle": 50331649,
"name": "l3_fwd.wcmp_action_profile" } ],
"default_selector_mask": 0,
"default_selector_value": 0,
"actions": [
{
"name": "NoAction",
"handle": 536870921,
"indirect_resources": [],
"allowed_as_default_action": true,
"p4_parameters": [],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 },
{
"name": "l3_fwd.set_nexthop",
"handle": 536870920,
"indirect_resources": [],
"allowed_as_default_action": true,
"p4_parameters": [
{ "name": "port", "start_bit": 0, "position": 0, "bit_width": 9 },
{ "name": "smac", "start_bit": 0, "position": 1, "bit_width": 48 },
{ "name": "dmac", "start_bit": 0, "position": 2, "bit_width": 48 } ],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 } ],
"default_action_handle": 0,
"action_profile": "l3_fwd.wcmp_action_profile",
"default_next_table_mask": 0,
"ap_bind_indirect_res_to_match": [],
"is_resource_controllable": true,
"uses_range": false,
"match_key_fields": [
{
"name": "local_metadata.vrf_id",
"position": 0,
"match_type": "exact",
"start_bit": 0,
"bit_width": 32,
"bit_width_full": 32,
"is_valid": false },
{
"name": "ipv4_base.dst_addr",
"position": 1,
"match_type": "lpm",
"start_bit": 0,
"bit_width": 32,
"bit_width_full": 32,
"is_valid": false } ],
"stateful_table_refs": [] },
{
"direction": "ingress",
"handle": 16777230,
"name": "l3_fwd.l3_ipv4_fallback_table",
"table_type": "match",
"size": 512,
"statistics_table_refs": [],
"match_attributes": {
"stage_tables": [
{
"stage_number": 2,
"size": 512,
"stage_table_type": "ternary_match",
"logical_table_id": 2,
"pack_format": [
{
"table_word_width": 47,
"memory_word_width": 47,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 1,
"entries": [
{
"entry_number": 0,
"fields": [
{
"field_name": "--tcam_payload_0--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--version--",
"lsb_mem_word_offset": 43,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "version",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "--tcam_parity_0--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ipv4_base.dst_addr",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 0,
"field_width": 32 } ] } ] } ],
"memory_resource_allocation": {
"memory_type": "tcam",
"memory_units_and_vpns": [ { "memory_units": [ 3 ], "vpns": [ 0 ] } ],
"spare_bank_memory_unit": 3 },
"default_next_table": 255,
"ternary_indirection_stage_table": {
"stage_number": 2,
"stage_table_type": "ternary_indirection",
"size": 4096,
"pack_format": [
{
"memory_word_width": 128,
"table_word_width": 128,
"entries_per_table_word": 4,
"number_memory_units_per_table_word": 1,
"entries": [
{
"entry_number": 3,
"fields": [
{
"start_bit": 0,
"field_width": 9,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 119,
"field_name": "--padding_23_31--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 96,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 5,
"field_width": 11,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "adt_ptr",
"lsb_mem_word_offset": 108,
"field_name": "--action_data_pointer--",
"enable_pfe": false },
{
"start_bit": 7,
"field_width": 10,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "sel_ptr",
"lsb_mem_word_offset": 97,
"field_name": "--selection_base--",
"enable_pfe": true } ] },
{
"entry_number": 2,
"fields": [
{
"start_bit": 0,
"field_width": 9,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 87,
"field_name": "--padding_23_31--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 64,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 5,
"field_width": 11,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "adt_ptr",
"lsb_mem_word_offset": 76,
"field_name": "--action_data_pointer--",
"enable_pfe": false },
{
"start_bit": 7,
"field_width": 10,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "sel_ptr",
"lsb_mem_word_offset": 65,
"field_name": "--selection_base--",
"enable_pfe": true } ] },
{
"entry_number": 1,
"fields": [
{
"start_bit": 0,
"field_width": 9,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 55,
"field_name": "--padding_23_31--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 32,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 5,
"field_width": 11,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "adt_ptr",
"lsb_mem_word_offset": 44,
"field_name": "--action_data_pointer--",
"enable_pfe": false },
{
"start_bit": 7,
"field_width": 10,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "sel_ptr",
"lsb_mem_word_offset": 33,
"field_name": "--selection_base--",
"enable_pfe": true } ] },
{
"entry_number": 0,
"fields": [
{
"start_bit": 0,
"field_width": 9,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 23,
"field_name": "--padding_23_31--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 0,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 5,
"field_width": 11,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "adt_ptr",
"lsb_mem_word_offset": 12,
"field_name": "--action_data_pointer--",
"enable_pfe": false },
{
"start_bit": 7,
"field_width": 10,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "sel_ptr",
"lsb_mem_word_offset": 1,
"field_name": "--selection_base--",
"enable_pfe": true } ] } ] } ],
"memory_resource_allocation": {
"memory_type": "sram",
"memory_units_and_vpns": [ { "memory_units": [ 26 ], "vpns": [ 0 ] } ],
"spare_bank_memory_unit": 26 },
"action_format": [
{
"next_table": 1,
"next_table_full": 48,
"action_name": "NoAction",
"action_handle": 536870921,
"table_name": "tbl_act_2",
"vliw_instruction": 0,
"vliw_instruction_full": 65,
"immediate_fields": [] },
{
"next_table": 0,
"next_table_full": 255,
"action_name": "l3_fwd.set_nexthop",
"action_handle": 536870920,
"table_name": "--END_OF_PIPELINE--",
"vliw_instruction": 1,
"vliw_instruction_full": 64,
"immediate_fields": [
{
"param_name": "dmac",
"param_type": "parameter",
"param_shift": 0,
"dest_start": 0,
"dest_width": 16 },
{
"param_name": "dmac",
"param_type": "parameter",
"param_shift": 16,
"dest_start": 0,
"dest_width": 16 },
{
"param_name": "dmac",
"param_type": "parameter",
"param_shift": 32,
"dest_start": 0,
"dest_width": 16 },
{
"param_name": "port",
"param_type": "parameter",
"param_shift": 0,
"dest_start": 0,
"dest_width": 9 },
{
"param_name": "smac",
"param_type": "parameter",
"param_shift": 0,
"dest_start": 0,
"dest_width": 16 },
{
"param_name": "smac",
"param_type": "parameter",
"param_shift": 16,
"dest_start": 0,
"dest_width": 16 },
{
"param_name": "smac",
"param_type": "parameter",
"param_shift": 32,
"dest_start": 0,
"dest_width": 16 } ] } ] } } ],
"match_type": "ternary" },
"action_data_table_refs": [
{
"how_referenced": "indirect",
"handle": 33554433,
"name": "l3_fwd.wcmp_action_profile" } ],
"meter_table_refs": [],
"selection_table_refs": [
{
"how_referenced": "indirect",
"handle": 50331649,
"name": "l3_fwd.wcmp_action_profile" } ],
"default_selector_mask": 0,
"default_selector_value": 0,
"actions": [
{
"name": "NoAction",
"handle": 536870921,
"indirect_resources": [],
"allowed_as_default_action": true,
"p4_parameters": [],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 },
{
"name": "l3_fwd.set_nexthop",
"handle": 536870920,
"indirect_resources": [],
"allowed_as_default_action": true,
"p4_parameters": [
{ "name": "port", "start_bit": 0, "position": 0, "bit_width": 9 },
{ "name": "smac", "start_bit": 0, "position": 1, "bit_width": 48 },
{ "name": "dmac", "start_bit": 0, "position": 2, "bit_width": 48 } ],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 } ],
"default_action_handle": 0,
"action_profile": "l3_fwd.wcmp_action_profile",
"default_next_table_mask": 0,
"ap_bind_indirect_res_to_match": [],
"is_resource_controllable": true,
"uses_range": false,
"match_key_fields": [
{
"name": "ipv4_base.dst_addr",
"position": 0,
"match_type": "lpm",
"start_bit": 0,
"bit_width": 32,
"bit_width_full": 32,
"is_valid": false } ],
"stateful_table_refs": [] },
{
"direction": "ingress",
"handle": 33554433,
"name": "l3_fwd.wcmp_action_profile",
"table_type": "action",
"size": 1024,
"stage_tables": [
{
"stage_number": 2,
"size": 131072,
"stage_table_type": "action_data",
"logical_table_id": 0,
"pack_format": [
{
"memory_word_width": 128,
"table_word_width": 128,
"entries_per_table_word": 2,
"number_memory_units_per_table_word": 1,
"entries": [
{
"entry_number": 1,
"fields": [
{
"start_bit": 0,
"field_width": 64,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 64,
"field_name": "--padding_0_63--" } ] },
{
"entry_number": 0,
"fields": [
{
"start_bit": 0,
"field_width": 64,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 0,
"field_name": "--padding_0_63--" } ] } ],
"action_handle": 536870921 },
{
"memory_word_width": 128,
"table_word_width": 128,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 1,
"entries": [
{
"entry_number": 0,
"fields": [
{
"start_bit": 0,
"field_width": 9,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"lsb_mem_word_offset": 16,
"field_name": "port" },
{
"start_bit": 0,
"field_width": 16,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"lsb_mem_word_offset": 32,
"field_name": "smac" },
{
"start_bit": 16,
"field_width": 16,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"lsb_mem_word_offset": 48,
"field_name": "smac" },
{
"start_bit": 32,
"field_width": 16,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"lsb_mem_word_offset": 64,
"field_name": "smac" },
{
"start_bit": 0,
"field_width": 16,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"lsb_mem_word_offset": 80,
"field_name": "dmac" },
{
"start_bit": 16,
"field_width": 16,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"lsb_mem_word_offset": 96,
"field_name": "dmac" },
{
"start_bit": 32,
"field_width": 16,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"lsb_mem_word_offset": 112,
"field_name": "dmac" },
{
"start_bit": 0,
"field_width": 16,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 0,
"field_name": "--padding_0_15--" },
{
"start_bit": 0,
"field_width": 7,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 25,
"field_name": "--padding_25_31--" } ] } ],
"action_handle": 536870920 } ],
"memory_resource_allocation": {
"memory_type": "sram",
"memory_units_and_vpns": [ { "memory_units": [ 92 ], "vpns": [ 0 ] } ],
"spare_bank_memory_unit": 92 } } ],
"actions": [
{
"name": "NoAction",
"handle": 536870921,
"indirect_resources": [],
"allowed_as_default_action": true,
"p4_parameters": [],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 },
{
"name": "l3_fwd.set_nexthop",
"handle": 536870920,
"indirect_resources": [],
"allowed_as_default_action": true,
"p4_parameters": [
{ "name": "port", "start_bit": 0, "position": 0, "bit_width": 9 },
{ "name": "smac", "start_bit": 0, "position": 1, "bit_width": 48 },
{ "name": "dmac", "start_bit": 0, "position": 2, "bit_width": 48 } ],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 } ],
"how_referenced": "indirect" },
{
"direction": "ingress",
"handle": 50331649,
"name": "l3_fwd.wcmp_action_profile",
"table_type": "selection",
"size": 1024,
"stage_tables": [
{
"stage_number": 2,
"size": 1024,
"stage_table_type": "selection",
"logical_table_id": 0,
"pack_format": [
{
"table_word_width": 128,
"memory_word_width": 128,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 1 } ],
"memory_resource_allocation": {
"memory_type": "sram",
"memory_units_and_vpns": [ { "memory_units": [ 90 ], "vpns": [ 0 ] } ],
"spare_bank_memory_unit": 91 },
"meter_alu_index": 3 } ],
"selection_type": "fair",
"how_referenced": "indirect",
"max_port_pool_size": 120,
"bound_to_action_data_table_handle": 33554433 },
{
"direction": "egress",
"handle": 16777231,
"name": "spoof_protection.dhcp_spoof_protection_table",
"table_type": "match",
"size": 3072,
"stage_tables": [],
"statistics_table_refs": [],
"default_action_handle": 536870933,
"action_profile": "",
"default_next_table_mask": 0,
"ap_bind_indirect_res_to_match": [],
"is_resource_controllable": true,
"uses_range": false,
"match_key_fields": [
{
"name": "local_metadata.l4_dst_port",
"position": 0,
"match_type": "exact",
"start_bit": 0,
"bit_width": 16,
"bit_width_full": 16,
"is_valid": false },
{
"name": "standard_metadata.egress_spec",
"position": 1,
"match_type": "exact",
"start_bit": 0,
"bit_width": 9,
"bit_width_full": 9,
"is_valid": false } ],
"match_attributes": {
"stage_tables": [
{
"stage_number": 2,
"logical_table_id": 4,
"memory_resource_allocation": null,
"size": 3072,
"stage_table_type": "hash_match",
"hash_functions": [
{
"hash_bits": [
{
"hash_bit": 0,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 0,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 1,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 4,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 7,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 10,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 13,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 14,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 15,
"field_name": "local_metadata.l4_dst_port" } ] },
{
"hash_bit": 1,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 2,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 3,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 5,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 6,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 7,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 10,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 11,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 15,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 0,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 2,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 1,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 3,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 5,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 6,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 11,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 13,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 1,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 3,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 3,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 4,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 7,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 9,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 10,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 11,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 14,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 2,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 4,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 1,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 2,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 5,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 6,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 7,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 8,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 10,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 11,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 12,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 3,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 5,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 2,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 4,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 6,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 8,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 9,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 10,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 11,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 13,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 14,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 15,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 4,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 6,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 1,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 6,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 7,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 8,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 10,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 11,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 13,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 14,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 5,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 7,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 1,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 2,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 3,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 4,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 9,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 10,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 11,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 13,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 15,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 6,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 8,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 1,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 3,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 4,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 6,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 7,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 8,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 9,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 10,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 11,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 13,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 15,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 7,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 9,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 2,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 4,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 7,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 8,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 9,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 10,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 13,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 15,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 8,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 10,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 0,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 1,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 2,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 3,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 6,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 8,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 10,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 11,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 12,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 13,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 15,
"field_name": "local_metadata.l4_dst_port" } ] },
{
"hash_bit": 11,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 6,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 8,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 10,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 0,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 12,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 1,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 2,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 3,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 5,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 7,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 8,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 9,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 10,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 13,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 14,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 15,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 1,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 13,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 2,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 3,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 4,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 5,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 6,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 9,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 11,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 12,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 14,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 15,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 2,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 14,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 1,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 8,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 9,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 11,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 15,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 3,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 15,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 1,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 3,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 4,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 5,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 8,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 10,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 11,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 13,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 15,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 4,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 16,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 2,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 4,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 5,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 7,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 12,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 15,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 5,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 17,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 3,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 4,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 5,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 6,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 7,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 8,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 11,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 6,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 18,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 1,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 3,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 4,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 5,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 11,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 13,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 14,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 15,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 7,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 19,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 2,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 3,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 4,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 9,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 10,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 13,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 8,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 20,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 0,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 1,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 2,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 3,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 5,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 8,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 9,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 10,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 11,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 13,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 15,
"field_name": "local_metadata.l4_dst_port" } ] },
{
"hash_bit": 21,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 3,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 4,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 5,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 6,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 7,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 9,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 10,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 11,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 12,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 13,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 15,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 0,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 22,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 2,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 3,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 4,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 5,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 7,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 8,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 9,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 1,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 23,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 4,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 6,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 8,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 9,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 13,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 2,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 24,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 1,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 3,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 5,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 10,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 11,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 13,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 14,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 3,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 25,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 1,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 2,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 3,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 4,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 6,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 8,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 11,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 12,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 15,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 4,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 26,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 1,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 2,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 3,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 5,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 7,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 8,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 10,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 12,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 13,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 14,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 15,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 5,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 27,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 4,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 5,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 6,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 12,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 13,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 15,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 6,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 28,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 2,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 3,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 4,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 7,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 8,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 13,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 14,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 7,
"field_name": "standard_metadata.egress_spec" } ] },
{
"hash_bit": 29,
"seed": 0,
"bits_to_xor": [
{
"field_bit": 2,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 4,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 11,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 13,
"field_name": "local_metadata.l4_dst_port" },
{
"field_bit": 8,
"field_name": "standard_metadata.egress_spec" } ] } ] } ],
"action_format": [
{
"next_table": 0,
"next_table_full": 255,
"action_name": "NoAction",
"action_handle": 536870933,
"table_name": "--END_OF_PIPELINE--",
"vliw_instruction": 0,
"vliw_instruction_full": 64,
"immediate_fields": [] },
{
"next_table": 0,
"next_table_full": 255,
"action_name": "spoof_protection.drop_packet",
"action_handle": 536870932,
"table_name": "--END_OF_PIPELINE--",
"vliw_instruction": 1,
"vliw_instruction_full": 65,
"immediate_fields": [] } ],
"pack_format": [
{
"table_word_width": 128,
"memory_word_width": 128,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 1 } ],
"ways": [
{
"stage_number": 2,
"way_number": 0,
"stage_table_type": "hash_way",
"size": 1024,
"pack_format": [
{
"memory_word_width": 128,
"table_word_width": 128,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 1,
"entries": [
{
"entry_number": 0,
"fields": [
{
"start_bit": 0,
"field_width": 2,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 0,
"field_name": "--instruction_address--",
"match_mode": "unused",
"enable_pfe": false },
{
"field_name": "local_metadata.l4_dst_port",
"source": "spec",
"lsb_mem_word_offset": 41,
"start_bit": 1,
"immediate_name": "",
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"match_mode": "unused",
"enable_pfe": false,
"field_width": 7 },
{
"field_name": "local_metadata.l4_dst_port",
"source": "spec",
"lsb_mem_word_offset": 32,
"start_bit": 8,
"immediate_name": "",
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"match_mode": "unused",
"enable_pfe": false,
"field_width": 8 },
{
"start_bit": 0,
"field_width": 4,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "version",
"lsb_mem_word_offset": 112,
"field_name": "--version_valid--",
"match_mode": "unused",
"enable_pfe": false } ] } ] } ],
"memory_resource_allocation": {
"hash_function_id": 0,
"hash_entry_bit_lo": 0,
"hash_entry_bit_hi": 9,
"number_entry_bits": 10,
"hash_select_bit_hi": 40,
"hash_select_bit_lo": 40,
"number_select_bits": 0,
"memory_units_and_vpns": [ { "memory_units": [ 86 ], "vpns": [ 0 ] } ] } },
{
"stage_number": 2,
"way_number": 1,
"stage_table_type": "hash_way",
"size": 1024,
"pack_format": [
{
"memory_word_width": 128,
"table_word_width": 128,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 1,
"entries": [
{
"entry_number": 0,
"fields": [
{
"start_bit": 0,
"field_width": 2,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 0,
"field_name": "--instruction_address--",
"match_mode": "unused",
"enable_pfe": false },
{
"field_name": "local_metadata.l4_dst_port",
"source": "spec",
"lsb_mem_word_offset": 41,
"start_bit": 1,
"immediate_name": "",
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"match_mode": "unused",
"enable_pfe": false,
"field_width": 7 },
{
"field_name": "local_metadata.l4_dst_port",
"source": "spec",
"lsb_mem_word_offset": 32,
"start_bit": 8,
"immediate_name": "",
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"match_mode": "unused",
"enable_pfe": false,
"field_width": 8 },
{
"start_bit": 0,
"field_width": 4,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "version",
"lsb_mem_word_offset": 112,
"field_name": "--version_valid--",
"match_mode": "unused",
"enable_pfe": false } ] } ] } ],
"memory_resource_allocation": {
"hash_function_id": 0,
"hash_entry_bit_lo": 10,
"hash_entry_bit_hi": 19,
"number_entry_bits": 10,
"hash_select_bit_hi": 40,
"hash_select_bit_lo": 40,
"number_select_bits": 0,
"memory_units_and_vpns": [ { "memory_units": [ 74 ], "vpns": [ 1 ] } ] } },
{
"stage_number": 2,
"way_number": 2,
"stage_table_type": "hash_way",
"size": 1024,
"pack_format": [
{
"memory_word_width": 128,
"table_word_width": 128,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 1,
"entries": [
{
"entry_number": 0,
"fields": [
{
"start_bit": 0,
"field_width": 2,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 0,
"field_name": "--instruction_address--",
"match_mode": "unused",
"enable_pfe": false },
{
"field_name": "local_metadata.l4_dst_port",
"source": "spec",
"lsb_mem_word_offset": 41,
"start_bit": 1,
"immediate_name": "",
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"match_mode": "unused",
"enable_pfe": false,
"field_width": 7 },
{
"field_name": "local_metadata.l4_dst_port",
"source": "spec",
"lsb_mem_word_offset": 32,
"start_bit": 8,
"immediate_name": "",
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"match_mode": "unused",
"enable_pfe": false,
"field_width": 8 },
{
"start_bit": 0,
"field_width": 4,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "version",
"lsb_mem_word_offset": 112,
"field_name": "--version_valid--",
"match_mode": "unused",
"enable_pfe": false } ] } ] } ],
"memory_resource_allocation": {
"hash_function_id": 0,
"hash_entry_bit_lo": 20,
"hash_entry_bit_hi": 29,
"number_entry_bits": 10,
"hash_select_bit_hi": 40,
"hash_select_bit_lo": 40,
"number_select_bits": 0,
"memory_units_and_vpns": [ { "memory_units": [ 62 ], "vpns": [ 2 ] } ] } } ] } ],
"match_type": "exact",
"uses_dynamic_key_masks": false },
"actions": [
{
"name": "NoAction",
"handle": 536870933,
"indirect_resources": [],
"allowed_as_default_action": true,
"p4_parameters": [],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 },
{
"name": "spoof_protection.drop_packet",
"handle": 536870932,
"indirect_resources": [],
"allowed_as_default_action": true,
"p4_parameters": [],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 } ],
"meter_table_refs": [],
"selection_table_refs": [],
"stateful_table_refs": [],
"action_data_table_refs": [] },
{
"direction": "ingress",
"handle": 16777232,
"name": "tbl_act_2",
"table_type": "match",
"size": 1,
"stage_tables": [],
"statistics_table_refs": [],
"match_attributes": {
"match_type": "match_with_no_key",
"uses_dynamic_key_masks": false,
"stage_tables": [
{
"stage_number": 3,
"logical_table_id": 0,
"memory_resource_allocation": null,
"size": 1,
"stage_table_type": "match_with_no_key",
"default_next_table": 255,
"pack_format": [
{
"table_word_width": 0,
"memory_word_width": 0,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 0 } ],
"action_format": [
{
"next_table": 1,
"next_table_full": 64,
"action_name": "act_2",
"action_handle": 536870922,
"table_name": "punt_punt_table_0",
"vliw_instruction": 1,
"vliw_instruction_full": 64,
"immediate_fields": [] } ],
"hash_functions": [] } ] },
"preferred_match_type": "exact",
"actions": [
{
"name": "act_2",
"handle": 536870922,
"indirect_resources": [],
"allowed_as_default_action": false,
"disallowed_as_default_action_reason": "USES_HASH_DIST",
"p4_parameters": [],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 } ],
"default_action_handle": 536870922,
"action_profile": "",
"default_next_table_mask": 0,
"ap_bind_indirect_res_to_match": [],
"is_resource_controllable": true,
"uses_range": false,
"match_key_fields": [],
"performs_hash_action": false,
"meter_table_refs": [],
"selection_table_refs": [],
"stateful_table_refs": [],
"action_data_table_refs": [] },
{
"direction": "ingress",
"handle": 16777233,
"name": "punt.punt_table",
"table_type": "match",
"size": 512,
"statistics_table_refs": [
{
"how_referenced": "direct",
"handle": 67108867,
"name": "punt.punt_packet_counter" } ],
"meter_table_refs": [
{
"how_referenced": "direct",
"handle": 83886081,
"name": "punt.ingress_port_meter" } ],
"match_attributes": {
"stage_tables": [
{
"stage_number": 4,
"size": 512,
"stage_table_type": "ternary_match",
"logical_table_id": 0,
"pack_format": [
{
"table_word_width": 564,
"memory_word_width": 47,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 12,
"entries": [
{
"entry_number": 0,
"fields": [
{
"field_name": "--tcam_payload_11--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 11,
"msb_mem_word_idx": 11,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_11--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 11,
"msb_mem_word_idx": 11,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "arp.target_proto_addr",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 11,
"msb_mem_word_idx": 11,
"source": "spec",
"start_bit": 0,
"field_width": 32 },
{
"field_name": "ipv4_base.dst_addr",
"lsb_mem_word_offset": 33,
"lsb_mem_word_idx": 11,
"msb_mem_word_idx": 11,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "standard_metadata.egress_spec",
"lsb_mem_word_offset": 41,
"lsb_mem_word_idx": 11,
"msb_mem_word_idx": 11,
"source": "spec",
"start_bit": 8,
"field_width": 1 },
{
"field_name": "--tcam_payload_10--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 10,
"msb_mem_word_idx": 10,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--version--",
"lsb_mem_word_offset": 43,
"lsb_mem_word_idx": 10,
"msb_mem_word_idx": 10,
"source": "version",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "--tcam_parity_10--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 10,
"msb_mem_word_idx": 10,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ipv4_base.dst_addr",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 10,
"msb_mem_word_idx": 10,
"source": "spec",
"start_bit": 16,
"field_width": 16 },
{
"field_name": "ipv4_base.src_addr",
"lsb_mem_word_offset": 17,
"lsb_mem_word_idx": 10,
"msb_mem_word_idx": 10,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "ipv4_base.dst_addr",
"lsb_mem_word_offset": 25,
"lsb_mem_word_idx": 10,
"msb_mem_word_idx": 10,
"source": "spec",
"start_bit": 8,
"field_width": 8 },
{
"field_name": "ipv4_base.src_addr",
"lsb_mem_word_offset": 33,
"lsb_mem_word_idx": 10,
"msb_mem_word_idx": 10,
"source": "spec",
"start_bit": 16,
"field_width": 8 },
{
"field_name": "--tcam_payload_9--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 9,
"msb_mem_word_idx": 9,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_9--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 9,
"msb_mem_word_idx": 9,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ipv4_base.src_addr",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 9,
"msb_mem_word_idx": 9,
"source": "spec",
"start_bit": 24,
"field_width": 8 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 9,
"lsb_mem_word_idx": 9,
"msb_mem_word_idx": 9,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "ipv4_base.src_addr",
"lsb_mem_word_offset": 17,
"lsb_mem_word_idx": 9,
"msb_mem_word_idx": 9,
"source": "spec",
"start_bit": 8,
"field_width": 8 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 25,
"lsb_mem_word_idx": 9,
"msb_mem_word_idx": 9,
"source": "spec",
"start_bit": 16,
"field_width": 16 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 41,
"lsb_mem_word_idx": 9,
"msb_mem_word_idx": 9,
"source": "spec",
"start_bit": 64,
"field_width": 4 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 41,
"lsb_mem_word_idx": 8,
"msb_mem_word_idx": 8,
"source": "spec",
"start_bit": 68,
"field_width": 4 },
{
"field_name": "--tcam_payload_8--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 8,
"msb_mem_word_idx": 8,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_8--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 8,
"msb_mem_word_idx": 8,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 8,
"msb_mem_word_idx": 8,
"source": "spec",
"start_bit": 8,
"field_width": 8 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 9,
"lsb_mem_word_idx": 8,
"msb_mem_word_idx": 8,
"source": "spec",
"start_bit": 48,
"field_width": 16 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 25,
"lsb_mem_word_idx": 8,
"msb_mem_word_idx": 8,
"source": "spec",
"start_bit": 32,
"field_width": 16 },
{
"field_name": "--tcam_payload_7--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 7,
"msb_mem_word_idx": 7,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_7--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 7,
"msb_mem_word_idx": 7,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 7,
"msb_mem_word_idx": 7,
"source": "spec",
"start_bit": 80,
"field_width": 16 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 17,
"lsb_mem_word_idx": 7,
"msb_mem_word_idx": 7,
"source": "spec",
"start_bit": 96,
"field_width": 8 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 25,
"lsb_mem_word_idx": 7,
"msb_mem_word_idx": 7,
"source": "spec",
"start_bit": 72,
"field_width": 8 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 33,
"lsb_mem_word_idx": 7,
"msb_mem_word_idx": 7,
"source": "spec",
"start_bit": 112,
"field_width": 8 },
{
"field_name": "ipv6_base.src_addr",
"lsb_mem_word_offset": 41,
"lsb_mem_word_idx": 7,
"msb_mem_word_idx": 7,
"source": "spec",
"start_bit": 24,
"field_width": 4 },
{
"field_name": "ipv6_base.src_addr",
"lsb_mem_word_offset": 41,
"lsb_mem_word_idx": 6,
"msb_mem_word_idx": 6,
"source": "spec",
"start_bit": 28,
"field_width": 4 },
{
"field_name": "--tcam_payload_6--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 6,
"msb_mem_word_idx": 6,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_6--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 6,
"msb_mem_word_idx": 6,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ipv6_base.src_addr",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 6,
"msb_mem_word_idx": 6,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 9,
"lsb_mem_word_idx": 6,
"msb_mem_word_idx": 6,
"source": "spec",
"start_bit": 104,
"field_width": 8 },
{
"field_name": "ipv6_base.src_addr",
"lsb_mem_word_offset": 17,
"lsb_mem_word_idx": 6,
"msb_mem_word_idx": 6,
"source": "spec",
"start_bit": 16,
"field_width": 8 },
{
"field_name": "ipv6_base.dst_addr",
"lsb_mem_word_offset": 25,
"lsb_mem_word_idx": 6,
"msb_mem_word_idx": 6,
"source": "spec",
"start_bit": 120,
"field_width": 8 },
{
"field_name": "ipv6_base.src_addr",
"lsb_mem_word_offset": 33,
"lsb_mem_word_idx": 6,
"msb_mem_word_idx": 6,
"source": "spec",
"start_bit": 32,
"field_width": 8 },
{
"field_name": "--tcam_payload_5--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 5,
"msb_mem_word_idx": 5,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_5--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 5,
"msb_mem_word_idx": 5,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ipv6_base.src_addr",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 5,
"msb_mem_word_idx": 5,
"source": "spec",
"start_bit": 8,
"field_width": 8 },
{
"field_name": "ipv6_base.src_addr",
"lsb_mem_word_offset": 9,
"lsb_mem_word_idx": 5,
"msb_mem_word_idx": 5,
"source": "spec",
"start_bit": 48,
"field_width": 16 },
{
"field_name": "ipv6_base.src_addr",
"lsb_mem_word_offset": 25,
"lsb_mem_word_idx": 5,
"msb_mem_word_idx": 5,
"source": "spec",
"start_bit": 64,
"field_width": 8 },
{
"field_name": "ipv6_base.src_addr",
"lsb_mem_word_offset": 33,
"lsb_mem_word_idx": 5,
"msb_mem_word_idx": 5,
"source": "spec",
"start_bit": 40,
"field_width": 8 },
{
"field_name": "ipv6_base.src_addr",
"lsb_mem_word_offset": 41,
"lsb_mem_word_idx": 5,
"msb_mem_word_idx": 5,
"source": "spec",
"start_bit": 112,
"field_width": 4 },
{
"field_name": "ipv6_base.src_addr",
"lsb_mem_word_offset": 41,
"lsb_mem_word_idx": 4,
"msb_mem_word_idx": 4,
"source": "spec",
"start_bit": 116,
"field_width": 4 },
{
"field_name": "--tcam_payload_4--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 4,
"msb_mem_word_idx": 4,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_4--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 4,
"msb_mem_word_idx": 4,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ipv6_base.src_addr",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 4,
"msb_mem_word_idx": 4,
"source": "spec",
"start_bit": 88,
"field_width": 8 },
{
"field_name": "ipv6_base.src_addr",
"lsb_mem_word_offset": 9,
"lsb_mem_word_idx": 4,
"msb_mem_word_idx": 4,
"source": "spec",
"start_bit": 96,
"field_width": 8 },
{
"field_name": "ipv6_base.src_addr",
"lsb_mem_word_offset": 17,
"lsb_mem_word_idx": 4,
"msb_mem_word_idx": 4,
"source": "spec",
"start_bit": 72,
"field_width": 16 },
{
"field_name": "ipv6_base.src_addr",
"lsb_mem_word_offset": 33,
"lsb_mem_word_idx": 4,
"msb_mem_word_idx": 4,
"source": "spec",
"start_bit": 120,
"field_width": 8 },
{
"field_name": "--tcam_payload_3--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 3,
"msb_mem_word_idx": 3,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_3--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 3,
"msb_mem_word_idx": 3,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "local_metadata.vrf_id",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 3,
"msb_mem_word_idx": 3,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "ipv6_base.src_addr",
"lsb_mem_word_offset": 9,
"lsb_mem_word_idx": 3,
"msb_mem_word_idx": 3,
"source": "spec",
"start_bit": 104,
"field_width": 8 },
{
"field_name": "ipv6_base.traffic_class",
"lsb_mem_word_offset": 21,
"lsb_mem_word_idx": 3,
"msb_mem_word_idx": 3,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "ethernet.ether_type",
"lsb_mem_word_offset": 33,
"lsb_mem_word_idx": 3,
"msb_mem_word_idx": 3,
"source": "spec",
"start_bit": 0,
"field_width": 12 },
{
"field_name": "ethernet.ether_type",
"lsb_mem_word_offset": 33,
"lsb_mem_word_idx": 2,
"msb_mem_word_idx": 2,
"source": "spec",
"start_bit": 12,
"field_width": 4 },
{
"field_name": "--tcam_payload_2--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 2,
"msb_mem_word_idx": 2,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_2--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 2,
"msb_mem_word_idx": 2,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "local_metadata.vrf_id",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 2,
"msb_mem_word_idx": 2,
"source": "spec",
"start_bit": 16,
"field_width": 16 },
{
"field_name": "standard_metadata.egress_spec",
"lsb_mem_word_offset": 17,
"lsb_mem_word_idx": 2,
"msb_mem_word_idx": 2,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "local_metadata.vrf_id",
"lsb_mem_word_offset": 25,
"lsb_mem_word_idx": 2,
"msb_mem_word_idx": 2,
"source": "spec",
"start_bit": 8,
"field_width": 8 },
{
"field_name": "standard_metadata.ingress_port",
"lsb_mem_word_offset": 33,
"lsb_mem_word_idx": 2,
"msb_mem_word_idx": 2,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "--tcam_payload_1--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_1--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "standard_metadata.ingress_port",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "spec",
"start_bit": 8,
"field_width": 1 },
{
"field_name": "vlan_tag$0.vid",
"lsb_mem_word_offset": 9,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "vlan_tag$0.pcp",
"lsb_mem_word_offset": 22,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "spec",
"start_bit": 0,
"field_width": 3 },
{
"field_name": "ipv4_base.diffserv",
"lsb_mem_word_offset": 25,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "vlan_tag$0.vid",
"lsb_mem_word_offset": 33,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "spec",
"start_bit": 8,
"field_width": 4 },
{
"field_name": "local_metadata.icmp_code",
"lsb_mem_word_offset": 41,
"lsb_mem_word_idx": 1,
"msb_mem_word_idx": 1,
"source": "spec",
"start_bit": 0,
"field_width": 4 },
{
"field_name": "local_metadata.icmp_code",
"lsb_mem_word_offset": 41,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 4,
"field_width": 4 },
{
"field_name": "--tcam_payload_0--",
"lsb_mem_word_offset": 0,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "payload",
"start_bit": 0,
"field_width": 1 },
{
"field_name": "--tcam_parity_0--",
"lsb_mem_word_offset": 45,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "parity",
"start_bit": 0,
"field_width": 2 },
{
"field_name": "ipv4_base.protocol",
"lsb_mem_word_offset": 1,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "ipv4_base.ttl",
"lsb_mem_word_offset": 9,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "ipv6_base.hop_limit",
"lsb_mem_word_offset": 17,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "ipv6_base.next_header",
"lsb_mem_word_offset": 25,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 0,
"field_width": 8 },
{
"field_name": "local_metadata.class_id",
"lsb_mem_word_offset": 33,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "spec",
"start_bit": 0,
"field_width": 8 } ] } ] } ],
"memory_resource_allocation": {
"memory_type": "tcam",
"memory_units_and_vpns": [
{
"memory_units": [ 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11 ],
"vpns": [ 0 ] } ],
"spare_bank_memory_unit": 11 },
"default_next_table": 255,
"ternary_indirection_stage_table": {
"stage_number": 4,
"stage_table_type": "ternary_indirection",
"size": 8192,
"pack_format": [
{
"memory_word_width": 128,
"table_word_width": 128,
"entries_per_table_word": 8,
"number_memory_units_per_table_word": 1,
"entries": [
{
"entry_number": 7,
"fields": [
{
"start_bit": 0,
"field_width": 4,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 124,
"field_name": "--padding_12_15--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 2,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 112,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 10,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "immediate",
"lsb_mem_word_offset": 114,
"field_name": "--immediate--",
"enable_pfe": false } ] },
{
"entry_number": 6,
"fields": [
{
"start_bit": 0,
"field_width": 4,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 108,
"field_name": "--padding_12_15--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 2,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 96,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 10,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "immediate",
"lsb_mem_word_offset": 98,
"field_name": "--immediate--",
"enable_pfe": false } ] },
{
"entry_number": 5,
"fields": [
{
"start_bit": 0,
"field_width": 4,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 92,
"field_name": "--padding_12_15--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 2,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 80,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 10,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "immediate",
"lsb_mem_word_offset": 82,
"field_name": "--immediate--",
"enable_pfe": false } ] },
{
"entry_number": 4,
"fields": [
{
"start_bit": 0,
"field_width": 4,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 76,
"field_name": "--padding_12_15--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 2,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 64,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 10,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "immediate",
"lsb_mem_word_offset": 66,
"field_name": "--immediate--",
"enable_pfe": false } ] },
{
"entry_number": 3,
"fields": [
{
"start_bit": 0,
"field_width": 4,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 60,
"field_name": "--padding_12_15--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 2,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 48,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 10,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "immediate",
"lsb_mem_word_offset": 50,
"field_name": "--immediate--",
"enable_pfe": false } ] },
{
"entry_number": 2,
"fields": [
{
"start_bit": 0,
"field_width": 4,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 44,
"field_name": "--padding_12_15--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 2,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 32,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 10,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "immediate",
"lsb_mem_word_offset": 34,
"field_name": "--immediate--",
"enable_pfe": false } ] },
{
"entry_number": 1,
"fields": [
{
"start_bit": 0,
"field_width": 4,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 28,
"field_name": "--padding_12_15--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 2,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 16,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 10,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "immediate",
"lsb_mem_word_offset": 18,
"field_name": "--immediate--",
"enable_pfe": false } ] },
{
"entry_number": 0,
"fields": [
{
"start_bit": 0,
"field_width": 4,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "zero",
"lsb_mem_word_offset": 12,
"field_name": "--padding_12_15--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 2,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "instr",
"lsb_mem_word_offset": 0,
"field_name": "--instruction_address--",
"enable_pfe": false },
{
"start_bit": 0,
"field_width": 10,
"lsb_mem_word_idx": 0,
"msb_mem_word_idx": 0,
"source": "immediate",
"lsb_mem_word_offset": 2,
"field_name": "--immediate--",
"enable_pfe": false } ] } ] } ],
"memory_resource_allocation": {
"memory_type": "sram",
"memory_units_and_vpns": [ { "memory_units": [ 2 ], "vpns": [ 0 ] } ],
"spare_bank_memory_unit": 2 },
"action_format": [
{
"next_table": 1,
"next_table_full": 80,
"action_name": "NoAction",
"action_handle": 536870925,
"table_name": "tbl_act_3",
"vliw_instruction": 0,
"vliw_instruction_full": 65,
"immediate_fields": [] },
{
"next_table": 0,
"next_table_full": 255,
"action_name": "punt.set_queue_and_clone_to_cpu",
"action_handle": 536870923,
"table_name": "--END_OF_PIPELINE--",
"vliw_instruction": 1,
"vliw_instruction_full": 64,
"immediate_fields": [
{
"param_name": "$constant0",
"param_type": "constant",
"const_value": 1023,
"dest_start": 0,
"dest_width": 10 } ] },
{
"next_table": 0,
"next_table_full": 255,
"action_name": "punt.set_queue_and_send_to_cpu",
"action_handle": 536870924,
"table_name": "--END_OF_PIPELINE--",
"vliw_instruction": 2,
"vliw_instruction_full": 66,
"immediate_fields": [
{
"param_name": "$constant0",
"param_type": "constant",
"const_value": 320,
"dest_start": 0,
"dest_width": 9 } ] } ] } } ],
"match_type": "ternary" },
"action_data_table_refs": [],
"selection_table_refs": [],
"actions": [
{
"name": "NoAction",
"handle": 536870925,
"indirect_resources": [],
"allowed_as_default_action": true,
"p4_parameters": [],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 },
{
"name": "punt.set_queue_and_clone_to_cpu",
"handle": 536870923,
"indirect_resources": [],
"allowed_as_default_action": true,
"p4_parameters": [
{
"name": "queue_id",
"start_bit": 0,
"position": 0,
"bit_width": 5 } ],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 },
{
"name": "punt.set_queue_and_send_to_cpu",
"handle": 536870924,
"indirect_resources": [],
"allowed_as_default_action": true,
"p4_parameters": [
{
"name": "queue_id",
"start_bit": 0,
"position": 0,
"bit_width": 5 } ],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 } ],
"default_action_handle": 536870925,
"action_profile": "",
"default_next_table_mask": 0,
"ap_bind_indirect_res_to_match": [],
"is_resource_controllable": true,
"uses_range": false,
"match_key_fields": [
{
"name": "standard_metadata.ingress_port",
"position": 0,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 9,
"bit_width_full": 9,
"is_valid": false },
{
"name": "standard_metadata.egress_spec",
"position": 1,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 9,
"bit_width_full": 9,
"is_valid": false },
{
"name": "ethernet.ether_type",
"position": 2,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 16,
"bit_width_full": 16,
"is_valid": false },
{
"name": "ipv4_base.diffserv",
"position": 3,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 8,
"bit_width_full": 8,
"is_valid": false },
{
"name": "ipv6_base.traffic_class",
"position": 4,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 8,
"bit_width_full": 8,
"is_valid": false },
{
"name": "ipv4_base.ttl",
"position": 5,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 8,
"bit_width_full": 8,
"is_valid": false },
{
"name": "ipv6_base.hop_limit",
"position": 6,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 8,
"bit_width_full": 8,
"is_valid": false },
{
"name": "ipv4_base.src_addr",
"position": 7,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 32,
"bit_width_full": 32,
"is_valid": false },
{
"name": "ipv4_base.dst_addr",
"position": 8,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 32,
"bit_width_full": 32,
"is_valid": false },
{
"name": "ipv6_base.src_addr",
"position": 9,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 128,
"bit_width_full": 128,
"is_valid": false },
{
"name": "ipv6_base.dst_addr",
"position": 10,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 128,
"bit_width_full": 128,
"is_valid": false },
{
"name": "ipv4_base.protocol",
"position": 11,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 8,
"bit_width_full": 8,
"is_valid": false },
{
"name": "ipv6_base.next_header",
"position": 12,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 8,
"bit_width_full": 8,
"is_valid": false },
{
"name": "arp.target_proto_addr",
"position": 13,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 32,
"bit_width_full": 32,
"is_valid": false },
{
"name": "local_metadata.icmp_code",
"position": 14,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 8,
"bit_width_full": 8,
"is_valid": false },
{
"name": "vlan_tag$0.vid",
"position": 15,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 12,
"bit_width_full": 12,
"is_valid": false },
{
"name": "vlan_tag$0.pcp",
"position": 16,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 3,
"bit_width_full": 3,
"is_valid": false },
{
"name": "local_metadata.class_id",
"position": 17,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 8,
"bit_width_full": 8,
"is_valid": false },
{
"name": "local_metadata.vrf_id",
"position": 18,
"match_type": "ternary",
"start_bit": 0,
"bit_width": 32,
"bit_width_full": 32,
"is_valid": false } ],
"stateful_table_refs": [] },
{
"direction": "ingress",
"handle": 83886081,
"name": "punt.ingress_port_meter",
"table_type": "meter",
"size": 1024,
"stage_tables": [
{
"stage_number": 4,
"size": 1024,
"stage_table_type": "meter",
"logical_table_id": 0,
"pack_format": [
{
"table_word_width": 128,
"memory_word_width": 128,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 1 } ],
"memory_resource_allocation": {
"memory_type": "sram",
"memory_units_and_vpns": [
{ "memory_units": [ 90 ], "vpns": [ 0 ] },
{ "memory_units": [ 91 ], "vpns": [ 1 ] } ],
"spare_bank_memory_unit": 91 },
"color_map_ram_resource_allocation": {
"memory_type": "map_ram",
"memory_units_and_vpns": [ { "memory_units": [ 44 ], "vpns": [ 0 ] } ] },
"meter_sweep_interval": 2,
"default_lower_huffman_bits_included": 7,
"meter_alu_index": 3 } ],
"enable_per_flow_enable": false,
"per_flow_enable_bit_position": 14,
"how_referenced": "direct",
"meter_type": "standard",
"meter_granularity": "bytes",
"enable_color_aware": false,
"enable_color_aware_pfe": false,
"enable_pfe": false,
"pfe_bit_position": 14,
"color_aware_pfe_address_type_bit_position": 0 },
{
"direction": "ingress",
"handle": 67108867,
"name": "punt.punt_packet_counter",
"table_type": "statistics",
"size": 4096,
"stage_tables": [
{
"stage_number": 4,
"size": 4096,
"stage_table_type": "statistics",
"logical_table_id": 0,
"pack_format": [
{
"table_word_width": 128,
"memory_word_width": 128,
"entries_per_table_word": 4,
"number_memory_units_per_table_word": 1 } ],
"memory_resource_allocation": {
"memory_type": "sram",
"memory_units_and_vpns": [
{ "memory_units": [ 78 ], "vpns": [ 0 ] },
{ "memory_units": [ 79 ], "vpns": [ 1 ] } ],
"spare_bank_memory_unit": 79 } } ],
"enable_per_flow_enable": false,
"per_flow_enable_bit_position": 0,
"how_referenced": "direct",
"enable_pfe": false,
"pfe_bit_position": 0,
"byte_counter_resolution": 0,
"packet_counter_resolution": 32,
"statistics_type": "packets" },
{
"direction": "ingress",
"handle": 16777234,
"name": "tbl_act_3",
"table_type": "match",
"size": 1,
"stage_tables": [],
"statistics_table_refs": [],
"match_attributes": {
"match_type": "match_with_no_key",
"uses_dynamic_key_masks": false,
"stage_tables": [
{
"stage_number": 5,
"logical_table_id": 0,
"memory_resource_allocation": null,
"size": 1,
"stage_table_type": "match_with_no_key",
"default_next_table": 255,
"pack_format": [
{
"table_word_width": 0,
"memory_word_width": 0,
"entries_per_table_word": 1,
"number_memory_units_per_table_word": 0 } ],
"action_format": [
{
"next_table": 0,
"next_table_full": 255,
"action_name": "act_3",
"action_handle": 536870926,
"table_name": "--END_OF_PIPELINE--",
"vliw_instruction": 1,
"vliw_instruction_full": 64,
"immediate_fields": [] } ],
"hash_functions": [] } ] },
"preferred_match_type": "exact",
"actions": [
{
"name": "act_3",
"handle": 536870926,
"indirect_resources": [],
"allowed_as_default_action": false,
"disallowed_as_default_action_reason": "USES_HASH_DIST",
"p4_parameters": [],
"override_meter_addr": false,
"override_meter_addr_pfe": false,
"override_meter_full_addr": 0,
"override_stat_addr": false,
"override_stat_addr_pfe": false,
"override_stat_full_addr": 0,
"override_stateful_addr": false,
"override_stateful_addr_pfe": false,
"override_stateful_full_addr": 0 } ],
"default_action_handle": 536870926,
"action_profile": "",
"default_next_table_mask": 0,
"ap_bind_indirect_res_to_match": [],
"is_resource_controllable": true,
"uses_range": false,
"match_key_fields": [],
"performs_hash_action": false,
"meter_table_refs": [],
"selection_table_refs": [],
"stateful_table_refs": [],
"action_data_table_refs": [] } ],
"configuration_cache": [
{
"fully_qualified_name": "pmarb.ebp18_reg.ebp_reg[0].epb_prsr_port_regs.chnl_ctrl[0]",
"name": "parser0_chnl_ctrl_0",
"value": "00a40000" },
{
"fully_qualified_name": "pmarb.ebp18_reg.ebp_reg[0].epb_prsr_port_regs.chnl_ctrl[1]",
"name": "parser0_chnl_ctrl_1",
"value": "00a40000" },
{
"fully_qualified_name": "pmarb.ebp18_reg.ebp_reg[0].epb_prsr_port_regs.chnl_ctrl[2]",
"name": "parser0_chnl_ctrl_2",
"value": "00a40000" },
{
"fully_qualified_name": "pmarb.ebp18_reg.ebp_reg[0].epb_prsr_port_regs.chnl_ctrl[3]",
"name": "parser0_chnl_ctrl_3",
"value": "00a40000" },
{
"fully_qualified_name": "pmarb.ebp18_reg.ebp_reg[0].epb_prsr_port_regs.multi_threading",
"name": "parser0_multi_threading",
"value": "19522020" },
{
"fully_qualified_name": "mau[0].dp.match_input_xbar_din_power_ctl",
"name": "stage_0_match_input_xbar_din_power_ctl",
"value": "0000000000000000000000000000000000000000000000080000000000000000000000000000000000000031000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" },
{
"fully_qualified_name": "mau[0].dp.xbar_hash.hash.parity_group_mask",
"name": "stage_0_parity_group_mask",
"value": "00000001000000000000000200000000000000040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" },
{
"fully_qualified_name": "mau[1].dp.match_input_xbar_din_power_ctl",
"name": "stage_1_match_input_xbar_din_power_ctl",
"value": "0000000f0000000c000000000000000000000079000000120000000800000000000000f80000007b00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" },
{
"fully_qualified_name": "mau[1].dp.xbar_hash.hash.parity_group_mask",
"name": "stage_1_parity_group_mask",
"value": "00000003000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" },
{
"fully_qualified_name": "mau[2].dp.match_input_xbar_din_power_ctl",
"name": "stage_2_match_input_xbar_din_power_ctl",
"value": "0000000000000019000000000000000000000020000000040000000600000000000000000000001800000060000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" },
{
"fully_qualified_name": "mau[2].dp.xbar_hash.hash.parity_group_mask",
"name": "stage_2_parity_group_mask",
"value": "00000000000000000000000000000000000000300000000000000040000000000000000000000000000000000000000000000000000000000000000000000000" },
{
"fully_qualified_name": "mau[3].dp.match_input_xbar_din_power_ctl",
"name": "stage_3_match_input_xbar_din_power_ctl",
"value": "0000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" },
{
"fully_qualified_name": "mau[4].rams.match.adrdist.meter_sweep_ctl[3]",
"name": "stage_4_meter_sweep_ctl_3",
"value": "00040000" },
{
"fully_qualified_name": "mau[4].dp.match_input_xbar_din_power_ctl",
"name": "stage_4_match_input_xbar_din_power_ctl",
"value": "000000ff0000001f00000000000000000000007f000000000000000000000000000000010000006200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" },
{
"fully_qualified_name": "mau[5].dp.match_input_xbar_din_power_ctl",
"name": "stage_5_match_input_xbar_din_power_ctl",
"value": "0000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" } ] }