blob: c49578437f8674faeca4645f834bbbca0a5f879f [file] [log] [blame]
Bri Prebilic Cole7c92a3d2015-01-09 16:50:03 -08001/*
2 * Copyright 2015 Open Networking Laboratory
3 *
4 * Licensed under the Apache License, Version 2.0 (the "License");
5 * you may not use this file except in compliance with the License.
6 * You may obtain a copy of the License at
7 *
8 * http://www.apache.org/licenses/LICENSE-2.0
9 *
10 * Unless required by applicable law or agreed to in writing, software
11 * distributed under the License is distributed on an "AS IS" BASIS,
12 * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
13 * See the License for the specific language governing permissions and
14 * limitations under the License.
15 */
16
17/*
18 ONOS GUI -- Device Controller - Unit Tests
Bri Prebilic Cole7c92a3d2015-01-09 16:50:03 -080019 */
20describe('Controller: OvDeviceCtrl', function () {
Bri Prebilic Cole902cb042015-02-11 14:04:15 -080021 var $log, $scope, $controller, ctrl, $mockHttp;
Bri Prebilic Cole7c92a3d2015-01-09 16:50:03 -080022
Simon Hunt14caf7c2015-03-02 15:51:18 -080023 // instantiate the Device module
Simon Hunt9d286562015-03-09 13:53:50 -070024 beforeEach(module('ovDevice', 'onosRemote', 'onosLayer', 'onosSvg',
25 'onosNav', 'ngRoute'));
Simon Hunt14caf7c2015-03-02 15:51:18 -080026
Bri Prebilic Cole902cb042015-02-11 14:04:15 -080027 beforeEach(inject(function(_$log_, $rootScope, _$controller_, $httpBackend) {
Bri Prebilic Cole7c92a3d2015-01-09 16:50:03 -080028 $log = _$log_;
Bri Prebilic Cole902cb042015-02-11 14:04:15 -080029 $scope = $rootScope.$new();
Bri Prebilic Cole7c92a3d2015-01-09 16:50:03 -080030 $controller = _$controller_;
31 $mockHttp = $httpBackend;
Bri Prebilic Cole7c92a3d2015-01-09 16:50:03 -080032 }));
33
Bri Prebilic Colee1bda3f2015-02-13 17:01:49 -080034 beforeEach(function() {
Bri Prebilic Colee1bda3f2015-02-13 17:01:49 -080035 ctrl = $controller('OvDeviceCtrl', { $scope: $scope });
Bri Prebilic Cole7c92a3d2015-01-09 16:50:03 -080036 });
37
Bri Prebilic Cole7c92a3d2015-01-09 16:50:03 -080038});